xref: /linux/tools/perf/pmu-events/arch/x86/cascadelakex/clx-metrics.json (revision a1ff5a7d78a036d6c2178ee5acd6ba4946243800)
1ecd94f1bSKan Liang[
2ecd94f1bSKan Liang    {
36635df2fSIan Rogers        "BriefDescription": "C2 residency percent per package",
46635df2fSIan Rogers        "MetricExpr": "cstate_pkg@c2\\-residency@ / TSC",
5ecd94f1bSKan Liang        "MetricGroup": "Power",
66635df2fSIan Rogers        "MetricName": "C2_Pkg_Residency",
78358b122SIan Rogers        "ScaleUnit": "100%"
88358b122SIan Rogers    },
98358b122SIan Rogers    {
108358b122SIan Rogers        "BriefDescription": "C3 residency percent per core",
118358b122SIan Rogers        "MetricExpr": "cstate_core@c3\\-residency@ / TSC",
128358b122SIan Rogers        "MetricGroup": "Power",
138358b122SIan Rogers        "MetricName": "C3_Core_Residency",
148358b122SIan Rogers        "ScaleUnit": "100%"
158358b122SIan Rogers    },
168358b122SIan Rogers    {
178358b122SIan Rogers        "BriefDescription": "C3 residency percent per package",
188358b122SIan Rogers        "MetricExpr": "cstate_pkg@c3\\-residency@ / TSC",
198358b122SIan Rogers        "MetricGroup": "Power",
208358b122SIan Rogers        "MetricName": "C3_Pkg_Residency",
218358b122SIan Rogers        "ScaleUnit": "100%"
228358b122SIan Rogers    },
238358b122SIan Rogers    {
246635df2fSIan Rogers        "BriefDescription": "C6 residency percent per core",
256635df2fSIan Rogers        "MetricExpr": "cstate_core@c6\\-residency@ / TSC",
266635df2fSIan Rogers        "MetricGroup": "Power",
276635df2fSIan Rogers        "MetricName": "C6_Core_Residency",
286635df2fSIan Rogers        "ScaleUnit": "100%"
296635df2fSIan Rogers    },
306635df2fSIan Rogers    {
318358b122SIan Rogers        "BriefDescription": "C6 residency percent per package",
328358b122SIan Rogers        "MetricExpr": "cstate_pkg@c6\\-residency@ / TSC",
338358b122SIan Rogers        "MetricGroup": "Power",
348358b122SIan Rogers        "MetricName": "C6_Pkg_Residency",
358358b122SIan Rogers        "ScaleUnit": "100%"
368358b122SIan Rogers    },
378358b122SIan Rogers    {
386635df2fSIan Rogers        "BriefDescription": "C7 residency percent per core",
396635df2fSIan Rogers        "MetricExpr": "cstate_core@c7\\-residency@ / TSC",
406635df2fSIan Rogers        "MetricGroup": "Power",
416635df2fSIan Rogers        "MetricName": "C7_Core_Residency",
426635df2fSIan Rogers        "ScaleUnit": "100%"
436635df2fSIan Rogers    },
446635df2fSIan Rogers    {
458358b122SIan Rogers        "BriefDescription": "C7 residency percent per package",
468358b122SIan Rogers        "MetricExpr": "cstate_pkg@c7\\-residency@ / TSC",
478358b122SIan Rogers        "MetricGroup": "Power",
488358b122SIan Rogers        "MetricName": "C7_Pkg_Residency",
498358b122SIan Rogers        "ScaleUnit": "100%"
506635df2fSIan Rogers    },
516635df2fSIan Rogers    {
526635df2fSIan Rogers        "BriefDescription": "Uncore frequency per die [GHZ]",
538c61edb8SIan Rogers        "MetricExpr": "tma_info_system_socket_clks / #num_dies / duration_time / 1e9",
546635df2fSIan Rogers        "MetricGroup": "SoC",
556635df2fSIan Rogers        "MetricName": "UNCORE_FREQ"
566635df2fSIan Rogers    },
576635df2fSIan Rogers    {
588c61edb8SIan Rogers        "BriefDescription": "Cycles per instruction retired; indicating how much time each executed instruction took; in units of cycles.",
598c61edb8SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD / INST_RETIRED.ANY",
608c61edb8SIan Rogers        "MetricName": "cpi",
618c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
628c61edb8SIan Rogers    },
638c61edb8SIan Rogers    {
648c61edb8SIan Rogers        "BriefDescription": "CPU operating frequency (in GHz)",
658c61edb8SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD / CPU_CLK_UNHALTED.REF_TSC * #SYSTEM_TSC_FREQ / 1e9",
668c61edb8SIan Rogers        "MetricName": "cpu_operating_frequency",
678c61edb8SIan Rogers        "ScaleUnit": "1GHz"
688c61edb8SIan Rogers    },
698c61edb8SIan Rogers    {
708c61edb8SIan Rogers        "BriefDescription": "Percentage of time spent in the active CPU power state C0",
71*4cc49942SIan Rogers        "MetricExpr": "tma_info_system_cpus_utilized",
728c61edb8SIan Rogers        "MetricName": "cpu_utilization",
738c61edb8SIan Rogers        "ScaleUnit": "100%"
748c61edb8SIan Rogers    },
758c61edb8SIan Rogers    {
768c61edb8SIan Rogers        "BriefDescription": "Ratio of number of completed page walks (for 2 megabyte page sizes) caused by demand data loads to the total number of completed instructions",
778c61edb8SIan Rogers        "MetricExpr": "DTLB_LOAD_MISSES.WALK_COMPLETED_2M_4M / INST_RETIRED.ANY",
788c61edb8SIan Rogers        "MetricName": "dtlb_2mb_large_page_load_mpi",
798c61edb8SIan Rogers        "PublicDescription": "Ratio of number of completed page walks (for 2 megabyte page sizes) caused by demand data loads to the total number of completed instructions. This implies it missed in the Data Translation Lookaside Buffer (DTLB) and further levels of TLB.",
808c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
818c61edb8SIan Rogers    },
828c61edb8SIan Rogers    {
838c61edb8SIan Rogers        "BriefDescription": "Ratio of number of completed page walks (for all page sizes) caused by demand data loads to the total number of completed instructions",
848c61edb8SIan Rogers        "MetricExpr": "DTLB_LOAD_MISSES.WALK_COMPLETED / INST_RETIRED.ANY",
858c61edb8SIan Rogers        "MetricName": "dtlb_load_mpi",
868c61edb8SIan Rogers        "PublicDescription": "Ratio of number of completed page walks (for all page sizes) caused by demand data loads to the total number of completed instructions. This implies it missed in the DTLB and further levels of TLB.",
878c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
888c61edb8SIan Rogers    },
898c61edb8SIan Rogers    {
908c61edb8SIan Rogers        "BriefDescription": "Ratio of number of completed page walks (for all page sizes) caused by demand data stores to the total number of completed instructions",
918c61edb8SIan Rogers        "MetricExpr": "DTLB_STORE_MISSES.WALK_COMPLETED / INST_RETIRED.ANY",
928c61edb8SIan Rogers        "MetricName": "dtlb_store_mpi",
938c61edb8SIan Rogers        "PublicDescription": "Ratio of number of completed page walks (for all page sizes) caused by demand data stores to the total number of completed instructions. This implies it missed in the DTLB and further levels of TLB.",
948c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
958c61edb8SIan Rogers    },
968c61edb8SIan Rogers    {
978c61edb8SIan Rogers        "BriefDescription": "Bandwidth of IO reads that are initiated by end device controllers that are requesting memory from the CPU.",
988c61edb8SIan Rogers        "MetricExpr": "(UNC_IIO_DATA_REQ_OF_CPU.MEM_READ.PART0 + UNC_IIO_DATA_REQ_OF_CPU.MEM_READ.PART1 + UNC_IIO_DATA_REQ_OF_CPU.MEM_READ.PART2 + UNC_IIO_DATA_REQ_OF_CPU.MEM_READ.PART3) * 4 / 1e6 / duration_time",
998c61edb8SIan Rogers        "MetricName": "io_bandwidth_read",
1008c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
1018c61edb8SIan Rogers    },
1028c61edb8SIan Rogers    {
1038c61edb8SIan Rogers        "BriefDescription": "Bandwidth of IO writes that are initiated by end device controllers that are writing memory to the CPU.",
1048c61edb8SIan Rogers        "MetricExpr": "(UNC_IIO_PAYLOAD_BYTES_IN.MEM_WRITE.PART0 + UNC_IIO_PAYLOAD_BYTES_IN.MEM_WRITE.PART1 + UNC_IIO_PAYLOAD_BYTES_IN.MEM_WRITE.PART2 + UNC_IIO_PAYLOAD_BYTES_IN.MEM_WRITE.PART3) * 4 / 1e6 / duration_time",
1058c61edb8SIan Rogers        "MetricName": "io_bandwidth_write",
1068c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
1078c61edb8SIan Rogers    },
1088c61edb8SIan Rogers    {
1098c61edb8SIan Rogers        "BriefDescription": "Ratio of number of completed page walks (for 2 megabyte and 4 megabyte page sizes) caused by a code fetch to the total number of completed instructions",
1108c61edb8SIan Rogers        "MetricExpr": "ITLB_MISSES.WALK_COMPLETED_2M_4M / INST_RETIRED.ANY",
1118c61edb8SIan Rogers        "MetricName": "itlb_large_page_mpi",
1128c61edb8SIan Rogers        "PublicDescription": "Ratio of number of completed page walks (for 2 megabyte and 4 megabyte page sizes) caused by a code fetch to the total number of completed instructions. This implies it missed in the Instruction Translation Lookaside Buffer (ITLB) and further levels of TLB.",
1138c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
1148c61edb8SIan Rogers    },
1158c61edb8SIan Rogers    {
1168c61edb8SIan Rogers        "BriefDescription": "Ratio of number of completed page walks (for all page sizes) caused by a code fetch to the total number of completed instructions",
1178c61edb8SIan Rogers        "MetricExpr": "ITLB_MISSES.WALK_COMPLETED / INST_RETIRED.ANY",
1188c61edb8SIan Rogers        "MetricName": "itlb_mpi",
1198c61edb8SIan Rogers        "PublicDescription": "Ratio of number of completed page walks (for all page sizes) caused by a code fetch to the total number of completed instructions. This implies it missed in the ITLB (Instruction TLB) and further levels of TLB.",
1208c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
1218c61edb8SIan Rogers    },
1228c61edb8SIan Rogers    {
1238c61edb8SIan Rogers        "BriefDescription": "Ratio of number of code read requests missing in L1 instruction cache (includes prefetches) to the total number of completed instructions",
1248c61edb8SIan Rogers        "MetricExpr": "L2_RQSTS.ALL_CODE_RD / INST_RETIRED.ANY",
1258c61edb8SIan Rogers        "MetricName": "l1_i_code_read_misses_with_prefetches_per_instr",
1268c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
1278c61edb8SIan Rogers    },
1288c61edb8SIan Rogers    {
1298c61edb8SIan Rogers        "BriefDescription": "Ratio of number of demand load requests hitting in L1 data cache to the total number of completed instructions",
1308c61edb8SIan Rogers        "MetricExpr": "MEM_LOAD_RETIRED.L1_HIT / INST_RETIRED.ANY",
1318c61edb8SIan Rogers        "MetricName": "l1d_demand_data_read_hits_per_instr",
1328c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
1338c61edb8SIan Rogers    },
1348c61edb8SIan Rogers    {
1358c61edb8SIan Rogers        "BriefDescription": "Ratio of number of requests missing L1 data cache (includes data+rfo w/ prefetches) to the total number of completed instructions",
1368c61edb8SIan Rogers        "MetricExpr": "L1D.REPLACEMENT / INST_RETIRED.ANY",
1378c61edb8SIan Rogers        "MetricName": "l1d_mpi",
1388c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
1398c61edb8SIan Rogers    },
1408c61edb8SIan Rogers    {
1418c61edb8SIan Rogers        "BriefDescription": "Ratio of number of code read request missing L2 cache to the total number of completed instructions",
1428c61edb8SIan Rogers        "MetricExpr": "L2_RQSTS.CODE_RD_MISS / INST_RETIRED.ANY",
1438c61edb8SIan Rogers        "MetricName": "l2_demand_code_mpi",
1448c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
1458c61edb8SIan Rogers    },
1468c61edb8SIan Rogers    {
1478c61edb8SIan Rogers        "BriefDescription": "Ratio of number of completed demand load requests hitting in L2 cache to the total number of completed instructions",
1488c61edb8SIan Rogers        "MetricExpr": "MEM_LOAD_RETIRED.L2_HIT / INST_RETIRED.ANY",
1498c61edb8SIan Rogers        "MetricName": "l2_demand_data_read_hits_per_instr",
1508c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
1518c61edb8SIan Rogers    },
1528c61edb8SIan Rogers    {
1538c61edb8SIan Rogers        "BriefDescription": "Ratio of number of completed data read request missing L2 cache to the total number of completed instructions",
1548c61edb8SIan Rogers        "MetricExpr": "MEM_LOAD_RETIRED.L2_MISS / INST_RETIRED.ANY",
1558c61edb8SIan Rogers        "MetricName": "l2_demand_data_read_mpi",
1568c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
1578c61edb8SIan Rogers    },
1588c61edb8SIan Rogers    {
1598c61edb8SIan Rogers        "BriefDescription": "Ratio of number of requests missing L2 cache (includes code+data+rfo w/ prefetches) to the total number of completed instructions",
1608c61edb8SIan Rogers        "MetricExpr": "L2_LINES_IN.ALL / INST_RETIRED.ANY",
1618c61edb8SIan Rogers        "MetricName": "l2_mpi",
1628c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
1638c61edb8SIan Rogers    },
1648c61edb8SIan Rogers    {
1658c61edb8SIan Rogers        "BriefDescription": "Ratio of number of code read requests missing last level core cache (includes demand w/ prefetches) to the total number of completed instructions",
166*4cc49942SIan Rogers        "MetricExpr": "cha@UNC_CHA_TOR_INSERTS.IA_MISS\\,config1\\=0x12cc0233@ / INST_RETIRED.ANY",
1678c61edb8SIan Rogers        "MetricName": "llc_code_read_mpi_demand_plus_prefetch",
1688c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
1698c61edb8SIan Rogers    },
1708c61edb8SIan Rogers    {
1718c61edb8SIan Rogers        "BriefDescription": "Average latency of a last level cache (LLC) demand and prefetch data read miss (read memory access) in nano seconds",
1728c61edb8SIan Rogers        "MetricExpr": "1e9 * (cha@UNC_CHA_TOR_OCCUPANCY.IA_MISS\\,config1\\=0x40433@ / cha@UNC_CHA_TOR_INSERTS.IA_MISS\\,config1\\=0x40433@) / (UNC_CHA_CLOCKTICKS / (source_count(UNC_CHA_CLOCKTICKS) * #num_packages)) * duration_time",
1738c61edb8SIan Rogers        "MetricName": "llc_data_read_demand_plus_prefetch_miss_latency",
1748c61edb8SIan Rogers        "ScaleUnit": "1ns"
1758c61edb8SIan Rogers    },
1768c61edb8SIan Rogers    {
1778c61edb8SIan Rogers        "BriefDescription": "Average latency of a last level cache (LLC) demand and prefetch data read miss (read memory access) addressed to local memory in nano seconds",
1788c61edb8SIan Rogers        "MetricExpr": "1e9 * (cha@UNC_CHA_TOR_OCCUPANCY.IA_MISS\\,config1\\=0x40432@ / cha@UNC_CHA_TOR_INSERTS.IA_MISS\\,config1\\=0x40432@) / (UNC_CHA_CLOCKTICKS / (source_count(UNC_CHA_CLOCKTICKS) * #num_packages)) * duration_time",
1798c61edb8SIan Rogers        "MetricName": "llc_data_read_demand_plus_prefetch_miss_latency_for_local_requests",
1808c61edb8SIan Rogers        "ScaleUnit": "1ns"
1818c61edb8SIan Rogers    },
1828c61edb8SIan Rogers    {
1838c61edb8SIan Rogers        "BriefDescription": "Average latency of a last level cache (LLC) demand and prefetch data read miss (read memory access) addressed to remote memory in nano seconds",
1848c61edb8SIan Rogers        "MetricExpr": "1e9 * (cha@UNC_CHA_TOR_OCCUPANCY.IA_MISS\\,config1\\=0x40431@ / cha@UNC_CHA_TOR_INSERTS.IA_MISS\\,config1\\=0x40431@) / (UNC_CHA_CLOCKTICKS / (source_count(UNC_CHA_CLOCKTICKS) * #num_packages)) * duration_time",
1858c61edb8SIan Rogers        "MetricName": "llc_data_read_demand_plus_prefetch_miss_latency_for_remote_requests",
1868c61edb8SIan Rogers        "ScaleUnit": "1ns"
1878c61edb8SIan Rogers    },
1888c61edb8SIan Rogers    {
1898c61edb8SIan Rogers        "BriefDescription": "Ratio of number of data read requests missing last level core cache (includes demand w/ prefetches) to the total number of completed instructions",
190*4cc49942SIan Rogers        "MetricExpr": "cha@UNC_CHA_TOR_INSERTS.IA_MISS\\,config1\\=0x12d40433@ / INST_RETIRED.ANY",
1918c61edb8SIan Rogers        "MetricName": "llc_data_read_mpi_demand_plus_prefetch",
1928c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
1938c61edb8SIan Rogers    },
1948c61edb8SIan Rogers    {
1958c61edb8SIan Rogers        "BriefDescription": "Bandwidth (MB/sec) of read requests that miss the last level cache (LLC) and go to local memory.",
1968c61edb8SIan Rogers        "MetricExpr": "UNC_CHA_REQUESTS.READS_LOCAL * 64 / 1e6 / duration_time",
1978c61edb8SIan Rogers        "MetricName": "llc_miss_local_memory_bandwidth_read",
1988c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
1998c61edb8SIan Rogers    },
2008c61edb8SIan Rogers    {
2018c61edb8SIan Rogers        "BriefDescription": "Bandwidth (MB/sec) of write requests that miss the last level cache (LLC) and go to local memory.",
2028c61edb8SIan Rogers        "MetricExpr": "UNC_CHA_REQUESTS.WRITES_LOCAL * 64 / 1e6 / duration_time",
2038c61edb8SIan Rogers        "MetricName": "llc_miss_local_memory_bandwidth_write",
2048c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
2058c61edb8SIan Rogers    },
2068c61edb8SIan Rogers    {
2078c61edb8SIan Rogers        "BriefDescription": "Bandwidth (MB/sec) of read requests that miss the last level cache (LLC) and go to remote memory.",
2088c61edb8SIan Rogers        "MetricExpr": "UNC_CHA_REQUESTS.READS_REMOTE * 64 / 1e6 / duration_time",
2098c61edb8SIan Rogers        "MetricName": "llc_miss_remote_memory_bandwidth_read",
2108c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
2118c61edb8SIan Rogers    },
2128c61edb8SIan Rogers    {
213c72a2043SIan Rogers        "BriefDescription": "Bandwidth (MB/sec) of write requests that miss the last level cache (LLC) and go to remote memory.",
214c72a2043SIan Rogers        "MetricExpr": "UNC_CHA_REQUESTS.WRITES_REMOTE * 64 / 1e6 / duration_time",
215c72a2043SIan Rogers        "MetricName": "llc_miss_remote_memory_bandwidth_write",
216c72a2043SIan Rogers        "ScaleUnit": "1MB/s"
217c72a2043SIan Rogers    },
218c72a2043SIan Rogers    {
2198c61edb8SIan Rogers        "BriefDescription": "The ratio of number of completed memory load instructions to the total number completed instructions",
2208c61edb8SIan Rogers        "MetricExpr": "MEM_INST_RETIRED.ALL_LOADS / INST_RETIRED.ANY",
2218c61edb8SIan Rogers        "MetricName": "loads_per_instr",
2228c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
2238c61edb8SIan Rogers    },
2248c61edb8SIan Rogers    {
2258c61edb8SIan Rogers        "BriefDescription": "DDR memory read bandwidth (MB/sec)",
2268c61edb8SIan Rogers        "MetricExpr": "UNC_M_CAS_COUNT.RD * 64 / 1e6 / duration_time",
2278c61edb8SIan Rogers        "MetricName": "memory_bandwidth_read",
2288c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
2298c61edb8SIan Rogers    },
2308c61edb8SIan Rogers    {
2318c61edb8SIan Rogers        "BriefDescription": "DDR memory bandwidth (MB/sec)",
2328c61edb8SIan Rogers        "MetricExpr": "(UNC_M_CAS_COUNT.RD + UNC_M_CAS_COUNT.WR) * 64 / 1e6 / duration_time",
2338c61edb8SIan Rogers        "MetricName": "memory_bandwidth_total",
2348c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
2358c61edb8SIan Rogers    },
2368c61edb8SIan Rogers    {
2378c61edb8SIan Rogers        "BriefDescription": "DDR memory write bandwidth (MB/sec)",
2388c61edb8SIan Rogers        "MetricExpr": "UNC_M_CAS_COUNT.WR * 64 / 1e6 / duration_time",
2398c61edb8SIan Rogers        "MetricName": "memory_bandwidth_write",
2408c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
2418c61edb8SIan Rogers    },
2428c61edb8SIan Rogers    {
2438c61edb8SIan Rogers        "BriefDescription": "Memory read that miss the last level cache (LLC) addressed to local DRAM as a percentage of total memory read accesses, does not include LLC prefetches.",
2448c61edb8SIan Rogers        "MetricExpr": "cha@UNC_CHA_TOR_INSERTS.IA_MISS\\,config1\\=0x40432@ / (cha@UNC_CHA_TOR_INSERTS.IA_MISS\\,config1\\=0x40432@ + cha@UNC_CHA_TOR_INSERTS.IA_MISS\\,config1\\=0x40431@)",
2458c61edb8SIan Rogers        "MetricName": "numa_reads_addressed_to_local_dram",
2468c61edb8SIan Rogers        "ScaleUnit": "100%"
2478c61edb8SIan Rogers    },
2488c61edb8SIan Rogers    {
2498c61edb8SIan Rogers        "BriefDescription": "Memory reads that miss the last level cache (LLC) addressed to remote DRAM as a percentage of total memory read accesses, does not include LLC prefetches.",
2508c61edb8SIan Rogers        "MetricExpr": "cha@UNC_CHA_TOR_INSERTS.IA_MISS\\,config1\\=0x40431@ / (cha@UNC_CHA_TOR_INSERTS.IA_MISS\\,config1\\=0x40432@ + cha@UNC_CHA_TOR_INSERTS.IA_MISS\\,config1\\=0x40431@)",
2518c61edb8SIan Rogers        "MetricName": "numa_reads_addressed_to_remote_dram",
2528c61edb8SIan Rogers        "ScaleUnit": "100%"
2538c61edb8SIan Rogers    },
2548c61edb8SIan Rogers    {
2558c61edb8SIan Rogers        "BriefDescription": "Uops delivered from decoded instruction cache (decoded stream buffer or DSB) as a percent of total uops delivered to Instruction Decode Queue",
2568c61edb8SIan Rogers        "MetricExpr": "IDQ.DSB_UOPS / (IDQ.DSB_UOPS + IDQ.MITE_UOPS + IDQ.MS_UOPS + LSD.UOPS)",
2578c61edb8SIan Rogers        "MetricName": "percent_uops_delivered_from_decoded_icache",
2588c61edb8SIan Rogers        "ScaleUnit": "100%"
2598c61edb8SIan Rogers    },
2608c61edb8SIan Rogers    {
2618c61edb8SIan Rogers        "BriefDescription": "Uops delivered from legacy decode pipeline (Micro-instruction Translation Engine or MITE) as a percent of total uops delivered to Instruction Decode Queue",
2628c61edb8SIan Rogers        "MetricExpr": "IDQ.MITE_UOPS / (IDQ.DSB_UOPS + IDQ.MITE_UOPS + IDQ.MS_UOPS + LSD.UOPS)",
2638c61edb8SIan Rogers        "MetricName": "percent_uops_delivered_from_legacy_decode_pipeline",
2648c61edb8SIan Rogers        "ScaleUnit": "100%"
2658c61edb8SIan Rogers    },
2668c61edb8SIan Rogers    {
2678c61edb8SIan Rogers        "BriefDescription": "Uops delivered from microcode sequencer (MS) as a percent of total uops delivered to Instruction Decode Queue",
2688c61edb8SIan Rogers        "MetricExpr": "IDQ.MS_UOPS / (IDQ.DSB_UOPS + IDQ.MITE_UOPS + IDQ.MS_UOPS + LSD.UOPS)",
2698c61edb8SIan Rogers        "MetricName": "percent_uops_delivered_from_microcode_sequencer",
2708c61edb8SIan Rogers        "ScaleUnit": "100%"
2718c61edb8SIan Rogers    },
2728c61edb8SIan Rogers    {
2738c61edb8SIan Rogers        "BriefDescription": "Intel(R) Optane(TM) Persistent Memory(PMEM) memory read bandwidth (MB/sec)",
2748c61edb8SIan Rogers        "MetricExpr": "UNC_M_PMM_RPQ_INSERTS * 64 / 1e6 / duration_time",
2758c61edb8SIan Rogers        "MetricName": "pmem_memory_bandwidth_read",
2768c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
2778c61edb8SIan Rogers    },
2788c61edb8SIan Rogers    {
2798c61edb8SIan Rogers        "BriefDescription": "Intel(R) Optane(TM) Persistent Memory(PMEM) memory bandwidth (MB/sec)",
2808c61edb8SIan Rogers        "MetricExpr": "(UNC_M_PMM_RPQ_INSERTS + UNC_M_PMM_WPQ_INSERTS) * 64 / 1e6 / duration_time",
2818c61edb8SIan Rogers        "MetricName": "pmem_memory_bandwidth_total",
2828c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
2838c61edb8SIan Rogers    },
2848c61edb8SIan Rogers    {
2858c61edb8SIan Rogers        "BriefDescription": "Intel(R) Optane(TM) Persistent Memory(PMEM) memory write bandwidth (MB/sec)",
2868c61edb8SIan Rogers        "MetricExpr": "UNC_M_PMM_WPQ_INSERTS * 64 / 1e6 / duration_time",
2878c61edb8SIan Rogers        "MetricName": "pmem_memory_bandwidth_write",
2888c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
2898c61edb8SIan Rogers    },
2908c61edb8SIan Rogers    {
2916635df2fSIan Rogers        "BriefDescription": "Percentage of cycles spent in System Management Interrupts.",
2926635df2fSIan Rogers        "MetricExpr": "((msr@aperf@ - cycles) / msr@aperf@ if msr@smi@ > 0 else 0)",
2936635df2fSIan Rogers        "MetricGroup": "smi",
2946635df2fSIan Rogers        "MetricName": "smi_cycles",
2956635df2fSIan Rogers        "MetricThreshold": "smi_cycles > 0.1",
2966635df2fSIan Rogers        "ScaleUnit": "100%"
2976635df2fSIan Rogers    },
2986635df2fSIan Rogers    {
2996635df2fSIan Rogers        "BriefDescription": "Number of SMI interrupts.",
3006635df2fSIan Rogers        "MetricExpr": "msr@smi@",
3016635df2fSIan Rogers        "MetricGroup": "smi",
3026635df2fSIan Rogers        "MetricName": "smi_num",
3036635df2fSIan Rogers        "ScaleUnit": "1SMI#"
3046635df2fSIan Rogers    },
3056635df2fSIan Rogers    {
3068c61edb8SIan Rogers        "BriefDescription": "The ratio of number of completed memory store instructions to the total number completed instructions",
3078c61edb8SIan Rogers        "MetricExpr": "MEM_INST_RETIRED.ALL_STORES / INST_RETIRED.ANY",
3088c61edb8SIan Rogers        "MetricName": "stores_per_instr",
3098c61edb8SIan Rogers        "ScaleUnit": "1per_instr"
3108c61edb8SIan Rogers    },
3118c61edb8SIan Rogers    {
3126635df2fSIan Rogers        "BriefDescription": "This metric estimates how often memory load accesses were aliased by preceding stores (in program order) with a 4K address offset",
3138c61edb8SIan Rogers        "MetricExpr": "LD_BLOCKS_PARTIAL.ADDRESS_ALIAS / tma_info_thread_clks",
3146635df2fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_l1_bound_group",
3156635df2fSIan Rogers        "MetricName": "tma_4k_aliasing",
3166635df2fSIan Rogers        "MetricThreshold": "tma_4k_aliasing > 0.2 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
3176635df2fSIan Rogers        "PublicDescription": "This metric estimates how often memory load accesses were aliased by preceding stores (in program order) with a 4K address offset. False match is possible; which incur a few cycles load re-issue. However; the short re-issue duration is often hidden by the out-of-order core and HW optimizations; hence a user may safely ignore a high value of this metric unless it manages to propagate up into parent nodes of the hierarchy (e.g. to L1_Bound).",
3186635df2fSIan Rogers        "ScaleUnit": "100%"
3196635df2fSIan Rogers    },
3206635df2fSIan Rogers    {
3216635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution ports for ALU operations.",
3228c61edb8SIan Rogers        "MetricExpr": "(UOPS_DISPATCHED_PORT.PORT_0 + UOPS_DISPATCHED_PORT.PORT_1 + UOPS_DISPATCHED_PORT.PORT_5 + UOPS_DISPATCHED_PORT.PORT_6) / tma_info_thread_slots",
3236635df2fSIan Rogers        "MetricGroup": "TopdownL5;tma_L5_group;tma_ports_utilized_3m_group",
3246635df2fSIan Rogers        "MetricName": "tma_alu_op_utilization",
325c72a2043SIan Rogers        "MetricThreshold": "tma_alu_op_utilization > 0.4",
3266635df2fSIan Rogers        "ScaleUnit": "100%"
3276635df2fSIan Rogers    },
3286635df2fSIan Rogers    {
3296635df2fSIan Rogers        "BriefDescription": "This metric estimates fraction of slots the CPU retired uops delivered by the Microcode_Sequencer as a result of Assists",
330c72a2043SIan Rogers        "MetricExpr": "34 * (FP_ASSIST.ANY + OTHER_ASSISTS.ANY) / tma_info_thread_slots",
331*4cc49942SIan Rogers        "MetricGroup": "BvIO;TopdownL4;tma_L4_group;tma_microcode_sequencer_group",
3326635df2fSIan Rogers        "MetricName": "tma_assists",
3336635df2fSIan Rogers        "MetricThreshold": "tma_assists > 0.1 & (tma_microcode_sequencer > 0.05 & tma_heavy_operations > 0.1)",
3346635df2fSIan Rogers        "PublicDescription": "This metric estimates fraction of slots the CPU retired uops delivered by the Microcode_Sequencer as a result of Assists. Assists are long sequences of uops that are required in certain corner-cases for operations that cannot be handled natively by the execution pipeline. For example; when working with very small floating point values (so-called Denormals); the FP units are not set up to perform these operations natively. Instead; a sequence of instructions to perform the computation on the Denormals is injected into the pipeline. Since these microcode sequences might be dozens of uops long; Assists can be extremely deleterious to performance and they can be avoided in many cases. Sample with: OTHER_ASSISTS.ANY",
3356635df2fSIan Rogers        "ScaleUnit": "100%"
3366635df2fSIan Rogers    },
3376635df2fSIan Rogers    {
3386635df2fSIan Rogers        "BriefDescription": "This category represents fraction of slots where no uops are being delivered due to a lack of required resources for accepting new uops in the Backend",
3398c61edb8SIan Rogers        "MetricExpr": "1 - tma_frontend_bound - (UOPS_ISSUED.ANY + 4 * (INT_MISC.RECOVERY_CYCLES_ANY / 2 if #SMT_on else INT_MISC.RECOVERY_CYCLES)) / tma_info_thread_slots",
340*4cc49942SIan Rogers        "MetricGroup": "BvOB;TmaL1;TopdownL1;tma_L1_group",
3416635df2fSIan Rogers        "MetricName": "tma_backend_bound",
3426635df2fSIan Rogers        "MetricThreshold": "tma_backend_bound > 0.2",
343ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL1",
3446635df2fSIan Rogers        "PublicDescription": "This category represents fraction of slots where no uops are being delivered due to a lack of required resources for accepting new uops in the Backend. Backend is the portion of the processor core where the out-of-order scheduler dispatches ready uops into their respective execution units; and once completed these uops get retired according to program order. For example; stalls due to data-cache misses or stalls due to the divider unit being overloaded are both categorized under Backend Bound. Backend Bound is further divided into two main categories: Memory Bound and Core Bound.",
3456635df2fSIan Rogers        "ScaleUnit": "100%"
3466635df2fSIan Rogers    },
3476635df2fSIan Rogers    {
3486635df2fSIan Rogers        "BriefDescription": "This category represents fraction of slots wasted due to incorrect speculations",
3498c61edb8SIan Rogers        "MetricExpr": "(UOPS_ISSUED.ANY - UOPS_RETIRED.RETIRE_SLOTS + 4 * (INT_MISC.RECOVERY_CYCLES_ANY / 2 if #SMT_on else INT_MISC.RECOVERY_CYCLES)) / tma_info_thread_slots",
3506635df2fSIan Rogers        "MetricGroup": "TmaL1;TopdownL1;tma_L1_group",
3516635df2fSIan Rogers        "MetricName": "tma_bad_speculation",
3526635df2fSIan Rogers        "MetricThreshold": "tma_bad_speculation > 0.15",
353ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL1",
3546635df2fSIan Rogers        "PublicDescription": "This category represents fraction of slots wasted due to incorrect speculations. This include slots used to issue uops that do not eventually get retired and slots for which the issue-pipeline was blocked due to recovery from earlier incorrect speculation. For example; wasted work due to miss-predicted branches are categorized under Bad Speculation category. Incorrect data speculation followed by Memory Ordering Nukes is another example.",
3556635df2fSIan Rogers        "ScaleUnit": "100%"
3566635df2fSIan Rogers    },
3576635df2fSIan Rogers    {
3586635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU has wasted due to Branch Misprediction",
3596635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
3606635df2fSIan Rogers        "MetricExpr": "BR_MISP_RETIRED.ALL_BRANCHES / (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT) * tma_bad_speculation",
361*4cc49942SIan Rogers        "MetricGroup": "BadSpec;BrMispredicts;BvMP;TmaL2;TopdownL2;tma_L2_group;tma_bad_speculation_group;tma_issueBM",
3626635df2fSIan Rogers        "MetricName": "tma_branch_mispredicts",
3636635df2fSIan Rogers        "MetricThreshold": "tma_branch_mispredicts > 0.1 & tma_bad_speculation > 0.15",
364ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
3658c61edb8SIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU has wasted due to Branch Misprediction.  These slots are either wasted by uops fetched from an incorrectly speculated program path; or stalls when the out-of-order part of the machine needs to recover its state from a speculative path. Sample with: BR_MISP_RETIRED.ALL_BRANCHES. Related metrics: tma_info_bad_spec_branch_misprediction_cost, tma_info_bottleneck_mispredictions, tma_mispredicts_resteers",
3666635df2fSIan Rogers        "ScaleUnit": "100%"
3676635df2fSIan Rogers    },
3686635df2fSIan Rogers    {
3696635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers",
3708c61edb8SIan Rogers        "MetricExpr": "INT_MISC.CLEAR_RESTEER_CYCLES / tma_info_thread_clks + tma_unknown_branches",
3716635df2fSIan Rogers        "MetricGroup": "FetchLat;TopdownL3;tma_L3_group;tma_fetch_latency_group",
3726635df2fSIan Rogers        "MetricName": "tma_branch_resteers",
3736635df2fSIan Rogers        "MetricThreshold": "tma_branch_resteers > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
3746635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers. Branch Resteers estimates the Frontend delay in fetching operations from corrected path; following all sorts of miss-predicted branches. For example; branchy code with lots of miss-predictions might get categorized under Branch Resteers. Note the value of this node may overlap with its siblings. Sample with: BR_MISP_RETIRED.ALL_BRANCHES",
3756635df2fSIan Rogers        "ScaleUnit": "100%"
3766635df2fSIan Rogers    },
3776635df2fSIan Rogers    {
3786635df2fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles the CPU retired uops originated from CISC (complex instruction set computer) instruction",
3796635df2fSIan Rogers        "MetricExpr": "max(0, tma_microcode_sequencer - tma_assists)",
3806635df2fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_microcode_sequencer_group",
3816635df2fSIan Rogers        "MetricName": "tma_cisc",
3826635df2fSIan Rogers        "MetricThreshold": "tma_cisc > 0.1 & (tma_microcode_sequencer > 0.05 & tma_heavy_operations > 0.1)",
3836635df2fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles the CPU retired uops originated from CISC (complex instruction set computer) instruction. A CISC instruction has multiple uops that are required to perform the instruction's functionality as in the case of read-modify-write as an example. Since these instructions require multiple uops they may or may not imply sub-optimal use of machine resources.",
3846635df2fSIan Rogers        "ScaleUnit": "100%"
3856635df2fSIan Rogers    },
3866635df2fSIan Rogers    {
3876635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers as a result of Machine Clears",
3888c61edb8SIan Rogers        "MetricExpr": "(1 - BR_MISP_RETIRED.ALL_BRANCHES / (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT)) * INT_MISC.CLEAR_RESTEER_CYCLES / tma_info_thread_clks",
3896635df2fSIan Rogers        "MetricGroup": "BadSpec;MachineClears;TopdownL4;tma_L4_group;tma_branch_resteers_group;tma_issueMC",
3906635df2fSIan Rogers        "MetricName": "tma_clears_resteers",
3916635df2fSIan Rogers        "MetricThreshold": "tma_clears_resteers > 0.05 & (tma_branch_resteers > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15))",
3926635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers as a result of Machine Clears. Sample with: INT_MISC.CLEAR_RESTEER_CYCLES. Related metrics: tma_l1_bound, tma_machine_clears, tma_microcode_sequencer, tma_ms_switches",
3936635df2fSIan Rogers        "ScaleUnit": "100%"
3946635df2fSIan Rogers    },
3956635df2fSIan Rogers    {
3966635df2fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to contested accesses",
3976635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
398c72a2043SIan Rogers        "MetricExpr": "(44 * tma_info_system_core_frequency * (MEM_LOAD_L3_HIT_RETIRED.XSNP_HITM * (OCR.DEMAND_DATA_RD.L3_HIT.HITM_OTHER_CORE / (OCR.DEMAND_DATA_RD.L3_HIT.HITM_OTHER_CORE + OCR.DEMAND_DATA_RD.L3_HIT.HIT_OTHER_CORE_FWD))) + 44 * tma_info_system_core_frequency * MEM_LOAD_L3_HIT_RETIRED.XSNP_MISS) * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS / 2) / tma_info_thread_clks",
399*4cc49942SIan Rogers        "MetricGroup": "BvMS;DataSharing;Offcore;Snoop;TopdownL4;tma_L4_group;tma_issueSyncxn;tma_l3_bound_group",
4006635df2fSIan Rogers        "MetricName": "tma_contested_accesses",
4016635df2fSIan Rogers        "MetricThreshold": "tma_contested_accesses > 0.05 & (tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
4026635df2fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to contested accesses. Contested accesses occur when data written by one Logical Processor are read by another Logical Processor on a different Physical Core. Examples of contested accesses include synchronizations such as locks; true data sharing such as modified locked variables; and false sharing. Sample with: MEM_LOAD_L3_HIT_RETIRED.XSNP_HITM_PS;MEM_LOAD_L3_HIT_RETIRED.XSNP_MISS_PS. Related metrics: tma_data_sharing, tma_false_sharing, tma_machine_clears, tma_remote_cache",
4036635df2fSIan Rogers        "ScaleUnit": "100%"
4046635df2fSIan Rogers    },
4056635df2fSIan Rogers    {
4066635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where Core non-memory issues were of a bottleneck",
4076635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
4086635df2fSIan Rogers        "MetricExpr": "tma_backend_bound - tma_memory_bound",
4096635df2fSIan Rogers        "MetricGroup": "Backend;Compute;TmaL2;TopdownL2;tma_L2_group;tma_backend_bound_group",
4106635df2fSIan Rogers        "MetricName": "tma_core_bound",
4116635df2fSIan Rogers        "MetricThreshold": "tma_core_bound > 0.1 & tma_backend_bound > 0.2",
412ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
4136635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of slots where Core non-memory issues were of a bottleneck.  Shortage in hardware compute resources; or dependencies in software's instructions are both categorized under Core Bound. Hence it may indicate the machine ran out of an out-of-order resource; certain execution units are overloaded or dependencies in program's data- or instruction-flow are limiting the performance (e.g. FP-chained long-latency arithmetic operations).",
4146635df2fSIan Rogers        "ScaleUnit": "100%"
4156635df2fSIan Rogers    },
4166635df2fSIan Rogers    {
4176635df2fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to data-sharing accesses",
4186635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
419c72a2043SIan Rogers        "MetricExpr": "44 * tma_info_system_core_frequency * (MEM_LOAD_L3_HIT_RETIRED.XSNP_HIT + MEM_LOAD_L3_HIT_RETIRED.XSNP_HITM * (1 - OCR.DEMAND_DATA_RD.L3_HIT.HITM_OTHER_CORE / (OCR.DEMAND_DATA_RD.L3_HIT.HITM_OTHER_CORE + OCR.DEMAND_DATA_RD.L3_HIT.HIT_OTHER_CORE_FWD))) * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS / 2) / tma_info_thread_clks",
420*4cc49942SIan Rogers        "MetricGroup": "BvMS;Offcore;Snoop;TopdownL4;tma_L4_group;tma_issueSyncxn;tma_l3_bound_group",
4216635df2fSIan Rogers        "MetricName": "tma_data_sharing",
4226635df2fSIan Rogers        "MetricThreshold": "tma_data_sharing > 0.05 & (tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
4236635df2fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles while the memory subsystem was handling synchronizations due to data-sharing accesses. Data shared by multiple Logical Processors (even just read shared) may cause increased access latency due to cache coherency. Excessive data sharing can drastically harm multithreaded performance. Sample with: MEM_LOAD_L3_HIT_RETIRED.XSNP_HIT_PS. Related metrics: tma_contested_accesses, tma_false_sharing, tma_machine_clears, tma_remote_cache",
4246635df2fSIan Rogers        "ScaleUnit": "100%"
4256635df2fSIan Rogers    },
4266635df2fSIan Rogers    {
4276635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles where decoder-0 was the only active decoder",
4288c61edb8SIan Rogers        "MetricExpr": "(cpu@INST_DECODED.DECODERS\\,cmask\\=1@ - cpu@INST_DECODED.DECODERS\\,cmask\\=2@) / tma_info_core_core_clks / 2",
4296635df2fSIan Rogers        "MetricGroup": "DSBmiss;FetchBW;TopdownL4;tma_L4_group;tma_issueD0;tma_mite_group",
4306635df2fSIan Rogers        "MetricName": "tma_decoder0_alone",
431c72a2043SIan Rogers        "MetricThreshold": "tma_decoder0_alone > 0.1 & (tma_mite > 0.1 & tma_fetch_bandwidth > 0.2)",
4326635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles where decoder-0 was the only active decoder. Related metrics: tma_few_uops_instructions",
4336635df2fSIan Rogers        "ScaleUnit": "100%"
4346635df2fSIan Rogers    },
4356635df2fSIan Rogers    {
4366635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles where the Divider unit was active",
4378c61edb8SIan Rogers        "MetricExpr": "ARITH.DIVIDER_ACTIVE / tma_info_thread_clks",
438*4cc49942SIan Rogers        "MetricGroup": "BvCB;TopdownL3;tma_L3_group;tma_core_bound_group",
4396635df2fSIan Rogers        "MetricName": "tma_divider",
4406635df2fSIan Rogers        "MetricThreshold": "tma_divider > 0.2 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2)",
4416635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles where the Divider unit was active. Divide and square root instructions are performed by the Divider unit and can take considerably longer latency than integer or Floating Point addition; subtraction; or multiplication. Sample with: ARITH.DIVIDER_ACTIVE",
4426635df2fSIan Rogers        "ScaleUnit": "100%"
4436635df2fSIan Rogers    },
4446635df2fSIan Rogers    {
4456635df2fSIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled on accesses to external memory (DRAM) by loads",
4466635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
4478c61edb8SIan Rogers        "MetricExpr": "(CYCLE_ACTIVITY.STALLS_L3_MISS / tma_info_thread_clks + (CYCLE_ACTIVITY.STALLS_L1D_MISS - CYCLE_ACTIVITY.STALLS_L2_MISS) / tma_info_thread_clks - tma_l2_bound - tma_pmm_bound if #has_pmem > 0 else CYCLE_ACTIVITY.STALLS_L3_MISS / tma_info_thread_clks + (CYCLE_ACTIVITY.STALLS_L1D_MISS - CYCLE_ACTIVITY.STALLS_L2_MISS) / tma_info_thread_clks - tma_l2_bound)",
4486635df2fSIan Rogers        "MetricGroup": "MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_memory_bound_group",
4496635df2fSIan Rogers        "MetricName": "tma_dram_bound",
4506635df2fSIan Rogers        "MetricThreshold": "tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
4516635df2fSIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled on accesses to external memory (DRAM) by loads. Better caching can improve the latency and increase performance. Sample with: MEM_LOAD_RETIRED.L3_MISS_PS",
4526635df2fSIan Rogers        "ScaleUnit": "100%"
4536635df2fSIan Rogers    },
4546635df2fSIan Rogers    {
4556635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to DSB (decoded uop cache) fetch pipeline",
456c72a2043SIan Rogers        "MetricExpr": "(IDQ.DSB_CYCLES_ANY - IDQ.DSB_CYCLES_OK) / tma_info_core_core_clks / 2",
4576635df2fSIan Rogers        "MetricGroup": "DSB;FetchBW;TopdownL3;tma_L3_group;tma_fetch_bandwidth_group",
4586635df2fSIan Rogers        "MetricName": "tma_dsb",
459c72a2043SIan Rogers        "MetricThreshold": "tma_dsb > 0.15 & tma_fetch_bandwidth > 0.2",
4606635df2fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to DSB (decoded uop cache) fetch pipeline.  For example; inefficient utilization of the DSB cache structure or bank conflict when reading from it; are categorized here.",
4616635df2fSIan Rogers        "ScaleUnit": "100%"
4626635df2fSIan Rogers    },
4636635df2fSIan Rogers    {
4646635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to switches from DSB to MITE pipelines",
4658c61edb8SIan Rogers        "MetricExpr": "DSB2MITE_SWITCHES.PENALTY_CYCLES / tma_info_thread_clks",
4666635df2fSIan Rogers        "MetricGroup": "DSBmiss;FetchLat;TopdownL3;tma_L3_group;tma_fetch_latency_group;tma_issueFB",
4676635df2fSIan Rogers        "MetricName": "tma_dsb_switches",
4686635df2fSIan Rogers        "MetricThreshold": "tma_dsb_switches > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
469*4cc49942SIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to switches from DSB to MITE pipelines. The DSB (decoded i-cache) is a Uop Cache where the front-end directly delivers Uops (micro operations) avoiding heavy x86 decoding. The DSB pipeline has shorter latency and delivered higher bandwidth than the MITE (legacy instruction decode pipeline). Switching between the two pipelines can cause penalties hence this metric measures the exposed penalty. Sample with: FRONTEND_RETIRED.DSB_MISS_PS. Related metrics: tma_fetch_bandwidth, tma_info_botlnk_l2_dsb_bandwidth, tma_info_botlnk_l2_dsb_misses, tma_info_frontend_dsb_coverage, tma_info_inst_mix_iptb, tma_lcp",
4706635df2fSIan Rogers        "ScaleUnit": "100%"
4716635df2fSIan Rogers    },
4726635df2fSIan Rogers    {
4736635df2fSIan Rogers        "BriefDescription": "This metric roughly estimates the fraction of cycles where the Data TLB (DTLB) was missed by load accesses",
4746635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
4758c61edb8SIan Rogers        "MetricExpr": "min(9 * cpu@DTLB_LOAD_MISSES.STLB_HIT\\,cmask\\=1@ + DTLB_LOAD_MISSES.WALK_ACTIVE, max(CYCLE_ACTIVITY.CYCLES_MEM_ANY - CYCLE_ACTIVITY.CYCLES_L1D_MISS, 0)) / tma_info_thread_clks",
476*4cc49942SIan Rogers        "MetricGroup": "BvMT;MemoryTLB;TopdownL4;tma_L4_group;tma_issueTLB;tma_l1_bound_group",
4776635df2fSIan Rogers        "MetricName": "tma_dtlb_load",
4786635df2fSIan Rogers        "MetricThreshold": "tma_dtlb_load > 0.1 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
479c72a2043SIan Rogers        "PublicDescription": "This metric roughly estimates the fraction of cycles where the Data TLB (DTLB) was missed by load accesses. TLBs (Translation Look-aside Buffers) are processor caches for recently used entries out of the Page Tables that are used to map virtual- to physical-addresses by the operating system. This metric approximates the potential delay of demand loads missing the first-level data TLB (assuming worst case scenario with back to back misses to different pages). This includes hitting in the second-level TLB (STLB) as well as performing a hardware page walk on an STLB miss. Sample with: MEM_INST_RETIRED.STLB_MISS_LOADS_PS. Related metrics: tma_dtlb_store, tma_info_bottleneck_memory_data_tlbs, tma_info_bottleneck_memory_synchronization",
4806635df2fSIan Rogers        "ScaleUnit": "100%"
4816635df2fSIan Rogers    },
4826635df2fSIan Rogers    {
4836635df2fSIan Rogers        "BriefDescription": "This metric roughly estimates the fraction of cycles spent handling first-level data TLB store misses",
4848c61edb8SIan Rogers        "MetricExpr": "(9 * cpu@DTLB_STORE_MISSES.STLB_HIT\\,cmask\\=1@ + DTLB_STORE_MISSES.WALK_ACTIVE) / tma_info_core_core_clks",
485*4cc49942SIan Rogers        "MetricGroup": "BvMT;MemoryTLB;TopdownL4;tma_L4_group;tma_issueTLB;tma_store_bound_group",
4866635df2fSIan Rogers        "MetricName": "tma_dtlb_store",
4876635df2fSIan Rogers        "MetricThreshold": "tma_dtlb_store > 0.05 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
488c72a2043SIan Rogers        "PublicDescription": "This metric roughly estimates the fraction of cycles spent handling first-level data TLB store misses.  As with ordinary data caching; focus on improving data locality and reducing working-set size to reduce DTLB overhead.  Additionally; consider using profile-guided optimization (PGO) to collocate frequently-used data on the same page.  Try using larger page sizes for large amounts of frequently-used data. Sample with: MEM_INST_RETIRED.STLB_MISS_STORES_PS. Related metrics: tma_dtlb_load, tma_info_bottleneck_memory_data_tlbs, tma_info_bottleneck_memory_synchronization",
4896635df2fSIan Rogers        "ScaleUnit": "100%"
4906635df2fSIan Rogers    },
4916635df2fSIan Rogers    {
4926635df2fSIan Rogers        "BriefDescription": "This metric roughly estimates how often CPU was handling synchronizations due to False Sharing",
4936635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
494c72a2043SIan Rogers        "MetricExpr": "(110 * tma_info_system_core_frequency * (OCR.DEMAND_RFO.L3_MISS.REMOTE_HITM + OCR.PF_L2_RFO.L3_MISS.REMOTE_HITM) + 47.5 * tma_info_system_core_frequency * (OCR.DEMAND_RFO.L3_HIT.HITM_OTHER_CORE + OCR.PF_L2_RFO.L3_HIT.HITM_OTHER_CORE)) / tma_info_thread_clks",
495*4cc49942SIan Rogers        "MetricGroup": "BvMS;DataSharing;Offcore;Snoop;TopdownL4;tma_L4_group;tma_issueSyncxn;tma_store_bound_group",
4966635df2fSIan Rogers        "MetricName": "tma_false_sharing",
4976635df2fSIan Rogers        "MetricThreshold": "tma_false_sharing > 0.05 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
4986635df2fSIan Rogers        "PublicDescription": "This metric roughly estimates how often CPU was handling synchronizations due to False Sharing. False Sharing is a multithreading hiccup; where multiple Logical Processors contend on different data-elements mapped into the same cache line. Sample with: MEM_LOAD_L3_HIT_RETIRED.XSNP_HITM_PS;OFFCORE_RESPONSE.DEMAND_RFO.L3_HIT.SNOOP_HITM. Related metrics: tma_contested_accesses, tma_data_sharing, tma_machine_clears, tma_remote_cache",
4996635df2fSIan Rogers        "ScaleUnit": "100%"
5006635df2fSIan Rogers    },
5016635df2fSIan Rogers    {
5026635df2fSIan Rogers        "BriefDescription": "This metric does a *rough estimation* of how often L1D Fill Buffer unavailability limited additional L1D miss memory access requests to proceed",
5036635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
5048c61edb8SIan Rogers        "MetricExpr": "tma_info_memory_load_miss_real_latency * cpu@L1D_PEND_MISS.FB_FULL\\,cmask\\=1@ / tma_info_thread_clks",
505*4cc49942SIan Rogers        "MetricGroup": "BvMS;MemoryBW;TopdownL4;tma_L4_group;tma_issueBW;tma_issueSL;tma_issueSmSt;tma_l1_bound_group",
5066635df2fSIan Rogers        "MetricName": "tma_fb_full",
5076635df2fSIan Rogers        "MetricThreshold": "tma_fb_full > 0.3",
508c72a2043SIan Rogers        "PublicDescription": "This metric does a *rough estimation* of how often L1D Fill Buffer unavailability limited additional L1D miss memory access requests to proceed. The higher the metric value; the deeper the memory hierarchy level the misses are satisfied from (metric values >1 are valid). Often it hints on approaching bandwidth limits (to L2 cache; L3 cache or external memory). Related metrics: tma_info_bottleneck_cache_memory_bandwidth, tma_info_system_dram_bw_use, tma_mem_bandwidth, tma_sq_full, tma_store_latency, tma_streaming_stores",
5096635df2fSIan Rogers        "ScaleUnit": "100%"
5106635df2fSIan Rogers    },
5116635df2fSIan Rogers    {
5126635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend bandwidth issues",
5136635df2fSIan Rogers        "MetricExpr": "tma_frontend_bound - tma_fetch_latency",
5146635df2fSIan Rogers        "MetricGroup": "FetchBW;Frontend;TmaL2;TopdownL2;tma_L2_group;tma_frontend_bound_group;tma_issueFB",
5156635df2fSIan Rogers        "MetricName": "tma_fetch_bandwidth",
516c72a2043SIan Rogers        "MetricThreshold": "tma_fetch_bandwidth > 0.2",
517ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
518*4cc49942SIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend bandwidth issues.  For example; inefficiencies at the instruction decoders; or restrictions for caching in the DSB (decoded uops cache) are categorized under Fetch Bandwidth. In such cases; the Frontend typically delivers suboptimal amount of uops to the Backend. Sample with: FRONTEND_RETIRED.LATENCY_GE_2_BUBBLES_GE_1_PS;FRONTEND_RETIRED.LATENCY_GE_1_PS;FRONTEND_RETIRED.LATENCY_GE_2_PS. Related metrics: tma_dsb_switches, tma_info_botlnk_l2_dsb_bandwidth, tma_info_botlnk_l2_dsb_misses, tma_info_frontend_dsb_coverage, tma_info_inst_mix_iptb, tma_lcp",
5196635df2fSIan Rogers        "ScaleUnit": "100%"
5206635df2fSIan Rogers    },
5216635df2fSIan Rogers    {
5226635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend latency issues",
5238c61edb8SIan Rogers        "MetricExpr": "4 * IDQ_UOPS_NOT_DELIVERED.CYCLES_0_UOPS_DELIV.CORE / tma_info_thread_slots",
5246635df2fSIan Rogers        "MetricGroup": "Frontend;TmaL2;TopdownL2;tma_L2_group;tma_frontend_bound_group",
5256635df2fSIan Rogers        "MetricName": "tma_fetch_latency",
5266635df2fSIan Rogers        "MetricThreshold": "tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15",
527ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
5286635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU was stalled due to Frontend latency issues.  For example; instruction-cache misses; iTLB misses or fetch stalls after a branch misprediction are categorized under Frontend Latency. In such cases; the Frontend eventually delivers no uops for some period. Sample with: FRONTEND_RETIRED.LATENCY_GE_16_PS;FRONTEND_RETIRED.LATENCY_GE_8_PS",
5296635df2fSIan Rogers        "ScaleUnit": "100%"
5306635df2fSIan Rogers    },
5316635df2fSIan Rogers    {
5326635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring instructions that that are decoder into two or up to ([SNB+] four; [ADL+] five) uops",
533*4cc49942SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
5346635df2fSIan Rogers        "MetricExpr": "tma_heavy_operations - tma_microcode_sequencer",
5356635df2fSIan Rogers        "MetricGroup": "TopdownL3;tma_L3_group;tma_heavy_operations_group;tma_issueD0",
5366635df2fSIan Rogers        "MetricName": "tma_few_uops_instructions",
5376635df2fSIan Rogers        "MetricThreshold": "tma_few_uops_instructions > 0.05 & tma_heavy_operations > 0.1",
5386635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring instructions that that are decoder into two or up to ([SNB+] four; [ADL+] five) uops. This highly-correlates with the number of uops in such instructions. Related metrics: tma_decoder0_alone",
5396635df2fSIan Rogers        "ScaleUnit": "100%"
5406635df2fSIan Rogers    },
5416635df2fSIan Rogers    {
5426635df2fSIan Rogers        "BriefDescription": "This metric represents overall arithmetic floating-point (FP) operations fraction the CPU has executed (retired)",
5436635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
5446635df2fSIan Rogers        "MetricExpr": "tma_x87_use + tma_fp_scalar + tma_fp_vector",
5456635df2fSIan Rogers        "MetricGroup": "HPC;TopdownL3;tma_L3_group;tma_light_operations_group",
5466635df2fSIan Rogers        "MetricName": "tma_fp_arith",
5476635df2fSIan Rogers        "MetricThreshold": "tma_fp_arith > 0.2 & tma_light_operations > 0.6",
5486635df2fSIan Rogers        "PublicDescription": "This metric represents overall arithmetic floating-point (FP) operations fraction the CPU has executed (retired). Note this metric's value may exceed its parent due to use of \"Uops\" CountDomain and FMA double-counting.",
5496635df2fSIan Rogers        "ScaleUnit": "100%"
5506635df2fSIan Rogers    },
5516635df2fSIan Rogers    {
552c72a2043SIan Rogers        "BriefDescription": "This metric roughly estimates fraction of slots the CPU retired uops as a result of handing Floating Point (FP) Assists",
553c72a2043SIan Rogers        "MetricExpr": "34 * FP_ASSIST.ANY / tma_info_thread_slots",
554c72a2043SIan Rogers        "MetricGroup": "HPC;TopdownL5;tma_L5_group;tma_assists_group",
555c72a2043SIan Rogers        "MetricName": "tma_fp_assists",
556c72a2043SIan Rogers        "MetricThreshold": "tma_fp_assists > 0.1",
557c72a2043SIan Rogers        "PublicDescription": "This metric roughly estimates fraction of slots the CPU retired uops as a result of handing Floating Point (FP) Assists. FP Assist may apply when working with very small floating point values (so-called Denormals).",
558c72a2043SIan Rogers        "ScaleUnit": "100%"
559c72a2043SIan Rogers    },
560c72a2043SIan Rogers    {
5616635df2fSIan Rogers        "BriefDescription": "This metric approximates arithmetic floating-point (FP) scalar uops fraction the CPU has retired",
562*4cc49942SIan Rogers        "MetricExpr": "FP_ARITH_INST_RETIRED.SCALAR / UOPS_RETIRED.RETIRE_SLOTS",
5636635df2fSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL4;tma_L4_group;tma_fp_arith_group;tma_issue2P",
5646635df2fSIan Rogers        "MetricName": "tma_fp_scalar",
5656635df2fSIan Rogers        "MetricThreshold": "tma_fp_scalar > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6)",
5666635df2fSIan Rogers        "PublicDescription": "This metric approximates arithmetic floating-point (FP) scalar uops fraction the CPU has retired. May overcount due to FMA double counting. Related metrics: tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
5676635df2fSIan Rogers        "ScaleUnit": "100%"
5686635df2fSIan Rogers    },
5696635df2fSIan Rogers    {
5706635df2fSIan Rogers        "BriefDescription": "This metric approximates arithmetic floating-point (FP) vector uops fraction the CPU has retired aggregated across all vector widths",
5716635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
5726635df2fSIan Rogers        "MetricExpr": "cpu@FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE\\,umask\\=0xfc@ / UOPS_RETIRED.RETIRE_SLOTS",
5736635df2fSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL4;tma_L4_group;tma_fp_arith_group;tma_issue2P",
5746635df2fSIan Rogers        "MetricName": "tma_fp_vector",
5756635df2fSIan Rogers        "MetricThreshold": "tma_fp_vector > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6)",
5766635df2fSIan Rogers        "PublicDescription": "This metric approximates arithmetic floating-point (FP) vector uops fraction the CPU has retired aggregated across all vector widths. May overcount due to FMA double counting. Related metrics: tma_fp_scalar, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
5776635df2fSIan Rogers        "ScaleUnit": "100%"
5786635df2fSIan Rogers    },
5796635df2fSIan Rogers    {
5806635df2fSIan Rogers        "BriefDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 128-bit wide vectors",
5816635df2fSIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE) / UOPS_RETIRED.RETIRE_SLOTS",
5826635df2fSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL5;tma_L5_group;tma_fp_vector_group;tma_issue2P",
5836635df2fSIan Rogers        "MetricName": "tma_fp_vector_128b",
5846635df2fSIan Rogers        "MetricThreshold": "tma_fp_vector_128b > 0.1 & (tma_fp_vector > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6))",
5856635df2fSIan Rogers        "PublicDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 128-bit wide vectors. May overcount due to FMA double counting. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
5866635df2fSIan Rogers        "ScaleUnit": "100%"
5876635df2fSIan Rogers    },
5886635df2fSIan Rogers    {
5896635df2fSIan Rogers        "BriefDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 256-bit wide vectors",
5906635df2fSIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE) / UOPS_RETIRED.RETIRE_SLOTS",
5916635df2fSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL5;tma_L5_group;tma_fp_vector_group;tma_issue2P",
5926635df2fSIan Rogers        "MetricName": "tma_fp_vector_256b",
5936635df2fSIan Rogers        "MetricThreshold": "tma_fp_vector_256b > 0.1 & (tma_fp_vector > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6))",
5946635df2fSIan Rogers        "PublicDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 256-bit wide vectors. May overcount due to FMA double counting. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
5956635df2fSIan Rogers        "ScaleUnit": "100%"
5966635df2fSIan Rogers    },
5976635df2fSIan Rogers    {
5986635df2fSIan Rogers        "BriefDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 512-bit wide vectors",
5996635df2fSIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.512B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.512B_PACKED_SINGLE) / UOPS_RETIRED.RETIRE_SLOTS",
6006635df2fSIan Rogers        "MetricGroup": "Compute;Flops;TopdownL5;tma_L5_group;tma_fp_vector_group;tma_issue2P",
6016635df2fSIan Rogers        "MetricName": "tma_fp_vector_512b",
6026635df2fSIan Rogers        "MetricThreshold": "tma_fp_vector_512b > 0.1 & (tma_fp_vector > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6))",
6036635df2fSIan Rogers        "PublicDescription": "This metric approximates arithmetic FP vector uops fraction the CPU has retired for 512-bit wide vectors. May overcount due to FMA double counting. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_port_0, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
6046635df2fSIan Rogers        "ScaleUnit": "100%"
6056635df2fSIan Rogers    },
6066635df2fSIan Rogers    {
6076635df2fSIan Rogers        "BriefDescription": "This category represents fraction of slots where the processor's Frontend undersupplies its Backend",
6088c61edb8SIan Rogers        "MetricExpr": "IDQ_UOPS_NOT_DELIVERED.CORE / tma_info_thread_slots",
609*4cc49942SIan Rogers        "MetricGroup": "BvFB;BvIO;PGO;TmaL1;TopdownL1;tma_L1_group",
6106635df2fSIan Rogers        "MetricName": "tma_frontend_bound",
6116635df2fSIan Rogers        "MetricThreshold": "tma_frontend_bound > 0.15",
612ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL1",
6136635df2fSIan Rogers        "PublicDescription": "This category represents fraction of slots where the processor's Frontend undersupplies its Backend. Frontend denotes the first part of the processor core responsible to fetch operations that are executed later on by the Backend part. Within the Frontend; a branch predictor predicts the next address to fetch; cache-lines are fetched from the memory subsystem; parsed into instructions; and lastly decoded into micro-operations (uops). Ideally the Frontend can issue Pipeline_Width uops every cycle to the Backend. Frontend Bound denotes unutilized issue-slots when there is no Backend stall; i.e. bubbles where Frontend delivered no uops while Backend could have accepted them. For example; stalls due to instruction-cache misses would be categorized under Frontend Bound. Sample with: FRONTEND_RETIRED.LATENCY_GE_4_PS",
6146635df2fSIan Rogers        "ScaleUnit": "100%"
6156635df2fSIan Rogers    },
6166635df2fSIan Rogers    {
6176635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring fused instructions -- where one uop can represent multiple contiguous instructions",
6186635df2fSIan Rogers        "MetricExpr": "tma_light_operations * UOPS_RETIRED.MACRO_FUSED / UOPS_RETIRED.RETIRE_SLOTS",
619*4cc49942SIan Rogers        "MetricGroup": "Branches;BvBO;Pipeline;TopdownL3;tma_L3_group;tma_light_operations_group",
6206635df2fSIan Rogers        "MetricName": "tma_fused_instructions",
6216635df2fSIan Rogers        "MetricThreshold": "tma_fused_instructions > 0.1 & tma_light_operations > 0.6",
622c72a2043SIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring fused instructions -- where one uop can represent multiple contiguous instructions. CMP+JCC or DEC+JCC are common examples of legacy fusions. {([MTL] Note new MOV+OP and Load+OP fusions appear under Other_Light_Ops in MTL!)}",
6236635df2fSIan Rogers        "ScaleUnit": "100%"
6246635df2fSIan Rogers    },
6256635df2fSIan Rogers    {
6266635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring heavy-weight operations -- instructions that require two or more uops or micro-coded sequences",
6278c61edb8SIan Rogers        "MetricExpr": "(UOPS_RETIRED.RETIRE_SLOTS + UOPS_RETIRED.MACRO_FUSED - INST_RETIRED.ANY) / tma_info_thread_slots",
6286635df2fSIan Rogers        "MetricGroup": "Retire;TmaL2;TopdownL2;tma_L2_group;tma_retiring_group",
6296635df2fSIan Rogers        "MetricName": "tma_heavy_operations",
6306635df2fSIan Rogers        "MetricThreshold": "tma_heavy_operations > 0.1",
631ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
632c72a2043SIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring heavy-weight operations -- instructions that require two or more uops or micro-coded sequences. This highly-correlates with the uop length of these instructions/sequences. ([ICL+] Note this may overcount due to approximation using indirect events; [ADL+] .)",
6336635df2fSIan Rogers        "ScaleUnit": "100%"
6346635df2fSIan Rogers    },
6356635df2fSIan Rogers    {
6366635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to instruction cache misses",
6378c61edb8SIan Rogers        "MetricExpr": "(ICACHE_16B.IFDATA_STALL + 2 * cpu@ICACHE_16B.IFDATA_STALL\\,cmask\\=1\\,edge@) / tma_info_thread_clks",
638*4cc49942SIan Rogers        "MetricGroup": "BigFootprint;BvBC;FetchLat;IcMiss;TopdownL3;tma_L3_group;tma_fetch_latency_group",
6396635df2fSIan Rogers        "MetricName": "tma_icache_misses",
6406635df2fSIan Rogers        "MetricThreshold": "tma_icache_misses > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
6416635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to instruction cache misses. Sample with: FRONTEND_RETIRED.L2_MISS_PS;FRONTEND_RETIRED.L1I_MISS_PS",
6426635df2fSIan Rogers        "ScaleUnit": "100%"
6436635df2fSIan Rogers    },
6446635df2fSIan Rogers    {
6456635df2fSIan Rogers        "BriefDescription": "Branch Misprediction Cost: Fraction of TMA slots wasted per non-speculative branch misprediction (retired JEClear)",
646c72a2043SIan Rogers        "MetricExpr": "tma_info_bottleneck_mispredictions * tma_info_thread_slots / BR_MISP_RETIRED.ALL_BRANCHES / 100",
6476635df2fSIan Rogers        "MetricGroup": "Bad;BrMispredicts;tma_issueBM",
6488c61edb8SIan Rogers        "MetricName": "tma_info_bad_spec_branch_misprediction_cost",
6498c61edb8SIan Rogers        "PublicDescription": "Branch Misprediction Cost: Fraction of TMA slots wasted per non-speculative branch misprediction (retired JEClear). Related metrics: tma_branch_mispredicts, tma_info_bottleneck_mispredictions, tma_mispredicts_resteers"
6506635df2fSIan Rogers    },
6516635df2fSIan Rogers    {
6528c61edb8SIan Rogers        "BriefDescription": "Instructions per retired mispredicts for indirect CALL or JMP branches (lower number means higher occurrence rate).",
653c72a2043SIan Rogers        "MetricExpr": "tma_info_inst_mix_instructions / (UOPS_RETIRED.RETIRE_SLOTS / UOPS_ISSUED.ANY * BR_MISP_EXEC.INDIRECT)",
6548c61edb8SIan Rogers        "MetricGroup": "Bad;BrMispredicts",
6558c61edb8SIan Rogers        "MetricName": "tma_info_bad_spec_ipmisp_indirect",
6568c61edb8SIan Rogers        "MetricThreshold": "tma_info_bad_spec_ipmisp_indirect < 1e3"
6576635df2fSIan Rogers    },
6586635df2fSIan Rogers    {
6598c61edb8SIan Rogers        "BriefDescription": "Number of Instructions per non-speculative Branch Misprediction (JEClear) (lower number means higher occurrence rate)",
660c72a2043SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_MISP_RETIRED.ALL_BRANCHES",
6618c61edb8SIan Rogers        "MetricGroup": "Bad;BadSpec;BrMispredicts",
6628c61edb8SIan Rogers        "MetricName": "tma_info_bad_spec_ipmispredict",
6638c61edb8SIan Rogers        "MetricThreshold": "tma_info_bad_spec_ipmispredict < 200"
6646635df2fSIan Rogers    },
6656635df2fSIan Rogers    {
666c72a2043SIan Rogers        "BriefDescription": "Speculative to Retired ratio of all clears (covering mispredicts and nukes)",
667c72a2043SIan Rogers        "MetricExpr": "INT_MISC.CLEARS_COUNT / (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT)",
668c72a2043SIan Rogers        "MetricGroup": "BrMispredicts",
669c72a2043SIan Rogers        "MetricName": "tma_info_bad_spec_spec_clears_ratio"
670c72a2043SIan Rogers    },
671c72a2043SIan Rogers    {
672c72a2043SIan Rogers        "BriefDescription": "Probability of Core Bound bottleneck hidden by SMT-profiling artifacts",
6736635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
6748c61edb8SIan Rogers        "MetricExpr": "(100 * (1 - tma_core_bound / tma_ports_utilization if tma_core_bound < tma_ports_utilization else 1) if tma_info_system_smt_2t_utilization > 0.5 else 0)",
6756635df2fSIan Rogers        "MetricGroup": "Cor;SMT",
6768c61edb8SIan Rogers        "MetricName": "tma_info_botlnk_l0_core_bound_likely",
6778c61edb8SIan Rogers        "MetricThreshold": "tma_info_botlnk_l0_core_bound_likely > 0.5"
6786635df2fSIan Rogers    },
6796635df2fSIan Rogers    {
680*4cc49942SIan Rogers        "BriefDescription": "Total pipeline cost of DSB (uop cache) hits - subset of the Instruction_Fetch_BW Bottleneck",
681*4cc49942SIan Rogers        "MetricExpr": "100 * (tma_frontend_bound * (tma_fetch_bandwidth / (tma_fetch_bandwidth + tma_fetch_latency)) * (tma_dsb / (tma_dsb + tma_mite)))",
682*4cc49942SIan Rogers        "MetricGroup": "DSB;FetchBW;tma_issueFB",
683*4cc49942SIan Rogers        "MetricName": "tma_info_botlnk_l2_dsb_bandwidth",
684*4cc49942SIan Rogers        "MetricThreshold": "tma_info_botlnk_l2_dsb_bandwidth > 10",
685*4cc49942SIan Rogers        "PublicDescription": "Total pipeline cost of DSB (uop cache) hits - subset of the Instruction_Fetch_BW Bottleneck. Related metrics: tma_dsb_switches, tma_fetch_bandwidth, tma_info_botlnk_l2_dsb_misses, tma_info_frontend_dsb_coverage, tma_info_inst_mix_iptb, tma_lcp"
686*4cc49942SIan Rogers    },
687*4cc49942SIan Rogers    {
6886635df2fSIan Rogers        "BriefDescription": "Total pipeline cost of DSB (uop cache) misses - subset of the Instruction_Fetch_BW Bottleneck",
6896635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
6906635df2fSIan Rogers        "MetricExpr": "100 * (tma_fetch_latency * tma_dsb_switches / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches) + tma_fetch_bandwidth * tma_mite / (tma_dsb + tma_mite))",
6916635df2fSIan Rogers        "MetricGroup": "DSBmiss;Fed;tma_issueFB",
6928c61edb8SIan Rogers        "MetricName": "tma_info_botlnk_l2_dsb_misses",
6938c61edb8SIan Rogers        "MetricThreshold": "tma_info_botlnk_l2_dsb_misses > 10",
694*4cc49942SIan Rogers        "PublicDescription": "Total pipeline cost of DSB (uop cache) misses - subset of the Instruction_Fetch_BW Bottleneck. Related metrics: tma_dsb_switches, tma_fetch_bandwidth, tma_info_botlnk_l2_dsb_bandwidth, tma_info_frontend_dsb_coverage, tma_info_inst_mix_iptb, tma_lcp"
6956635df2fSIan Rogers    },
6966635df2fSIan Rogers    {
6976635df2fSIan Rogers        "BriefDescription": "Total pipeline cost of Instruction Cache misses - subset of the Big_Code Bottleneck",
6986635df2fSIan Rogers        "MetricExpr": "100 * (tma_fetch_latency * tma_icache_misses / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches))",
6996635df2fSIan Rogers        "MetricGroup": "Fed;FetchLat;IcMiss;tma_issueFL",
7008c61edb8SIan Rogers        "MetricName": "tma_info_botlnk_l2_ic_misses",
7018c61edb8SIan Rogers        "MetricThreshold": "tma_info_botlnk_l2_ic_misses > 5",
7026635df2fSIan Rogers        "PublicDescription": "Total pipeline cost of Instruction Cache misses - subset of the Big_Code Bottleneck. Related metrics: "
7036635df2fSIan Rogers    },
7046635df2fSIan Rogers    {
7058c61edb8SIan Rogers        "BriefDescription": "Total pipeline cost of instruction fetch related bottlenecks by large code footprint programs (i-side cache; TLB and BTB misses)",
7068c61edb8SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
7078c61edb8SIan Rogers        "MetricExpr": "100 * tma_fetch_latency * (tma_itlb_misses + tma_icache_misses + tma_unknown_branches) / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches)",
708*4cc49942SIan Rogers        "MetricGroup": "BigFootprint;BvBC;Fed;Frontend;IcMiss;MemoryTLB",
7098c61edb8SIan Rogers        "MetricName": "tma_info_bottleneck_big_code",
710c72a2043SIan Rogers        "MetricThreshold": "tma_info_bottleneck_big_code > 20"
7116635df2fSIan Rogers    },
7126635df2fSIan Rogers    {
713*4cc49942SIan Rogers        "BriefDescription": "Total pipeline cost of instructions used for program control-flow - a subset of the Retiring category in TMA",
714*4cc49942SIan Rogers        "MetricExpr": "100 * ((BR_INST_RETIRED.ALL_BRANCHES + 2 * BR_INST_RETIRED.NEAR_CALL + INST_RETIRED.NOP) / tma_info_thread_slots)",
715*4cc49942SIan Rogers        "MetricGroup": "BvBO;Ret",
7168c61edb8SIan Rogers        "MetricName": "tma_info_bottleneck_branching_overhead",
717*4cc49942SIan Rogers        "MetricThreshold": "tma_info_bottleneck_branching_overhead > 5",
718*4cc49942SIan Rogers        "PublicDescription": "Total pipeline cost of instructions used for program control-flow - a subset of the Retiring category in TMA. Examples include function calls; loops and alignments. (A lower bound)"
719c72a2043SIan Rogers    },
720c72a2043SIan Rogers    {
721c72a2043SIan Rogers        "BriefDescription": "Total pipeline cost of external Memory- or Cache-Bandwidth related bottlenecks",
722*4cc49942SIan Rogers        "MetricExpr": "100 * (tma_memory_bound * (tma_dram_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_pmm_bound + tma_store_bound)) * (tma_mem_bandwidth / (tma_mem_bandwidth + tma_mem_latency)) + tma_memory_bound * (tma_l3_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_pmm_bound + tma_store_bound)) * (tma_sq_full / (tma_contested_accesses + tma_data_sharing + tma_l3_hit_latency + tma_sq_full)) + tma_memory_bound * (tma_l1_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_pmm_bound + tma_store_bound)) * (tma_fb_full / (tma_4k_aliasing + tma_dtlb_load + tma_fb_full + tma_l1_hit_latency + tma_lock_latency + tma_split_loads + tma_store_fwd_blk)))",
723*4cc49942SIan Rogers        "MetricGroup": "BvMB;Mem;MemoryBW;Offcore;tma_issueBW",
724c72a2043SIan Rogers        "MetricName": "tma_info_bottleneck_cache_memory_bandwidth",
725c72a2043SIan Rogers        "MetricThreshold": "tma_info_bottleneck_cache_memory_bandwidth > 20",
726c72a2043SIan Rogers        "PublicDescription": "Total pipeline cost of external Memory- or Cache-Bandwidth related bottlenecks. Related metrics: tma_fb_full, tma_info_system_dram_bw_use, tma_mem_bandwidth, tma_sq_full"
727c72a2043SIan Rogers    },
728c72a2043SIan Rogers    {
729c72a2043SIan Rogers        "BriefDescription": "Total pipeline cost of external Memory- or Cache-Latency related bottlenecks",
730*4cc49942SIan Rogers        "MetricExpr": "100 * (tma_memory_bound * (tma_dram_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_pmm_bound + tma_store_bound)) * (tma_mem_latency / (tma_mem_bandwidth + tma_mem_latency)) + tma_memory_bound * (tma_l3_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_pmm_bound + tma_store_bound)) * (tma_l3_hit_latency / (tma_contested_accesses + tma_data_sharing + tma_l3_hit_latency + tma_sq_full)) + tma_memory_bound * tma_l2_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_pmm_bound + tma_store_bound) + tma_memory_bound * (tma_store_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_pmm_bound + tma_store_bound)) * (tma_store_latency / (tma_dtlb_store + tma_false_sharing + tma_split_stores + tma_store_latency)) + tma_memory_bound * (tma_l1_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_pmm_bound + tma_store_bound)) * (tma_l1_hit_latency / (tma_4k_aliasing + tma_dtlb_load + tma_fb_full + tma_l1_hit_latency + tma_lock_latency + tma_split_loads + tma_store_fwd_blk)))",
731*4cc49942SIan Rogers        "MetricGroup": "BvML;Mem;MemoryLat;Offcore;tma_issueLat",
732c72a2043SIan Rogers        "MetricName": "tma_info_bottleneck_cache_memory_latency",
733c72a2043SIan Rogers        "MetricThreshold": "tma_info_bottleneck_cache_memory_latency > 20",
734c72a2043SIan Rogers        "PublicDescription": "Total pipeline cost of external Memory- or Cache-Latency related bottlenecks. Related metrics: tma_l3_hit_latency, tma_mem_latency"
735c72a2043SIan Rogers    },
736c72a2043SIan Rogers    {
737c72a2043SIan Rogers        "BriefDescription": "Total pipeline cost when the execution is compute-bound - an estimation",
738c72a2043SIan Rogers        "MetricExpr": "100 * (tma_core_bound * tma_divider / (tma_divider + tma_ports_utilization + tma_serializing_operation) + tma_core_bound * (tma_ports_utilization / (tma_divider + tma_ports_utilization + tma_serializing_operation)) * (tma_ports_utilized_3m / (tma_ports_utilized_0 + tma_ports_utilized_1 + tma_ports_utilized_2 + tma_ports_utilized_3m)))",
739*4cc49942SIan Rogers        "MetricGroup": "BvCB;Cor;tma_issueComp",
740c72a2043SIan Rogers        "MetricName": "tma_info_bottleneck_compute_bound_est",
741c72a2043SIan Rogers        "MetricThreshold": "tma_info_bottleneck_compute_bound_est > 20",
742c72a2043SIan Rogers        "PublicDescription": "Total pipeline cost when the execution is compute-bound - an estimation. Covers Core Bound when High ILP as well as when long-latency execution units are busy. Related metrics: "
7436635df2fSIan Rogers    },
7446635df2fSIan Rogers    {
745*4cc49942SIan Rogers        "BriefDescription": "Total pipeline cost of instruction fetch bandwidth related bottlenecks (when the front-end could not sustain operations delivery to the back-end)",
7466635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
747c72a2043SIan Rogers        "MetricExpr": "100 * (tma_frontend_bound - (1 - 10 * tma_microcode_sequencer * tma_other_mispredicts / tma_branch_mispredicts) * tma_fetch_latency * tma_mispredicts_resteers / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches) - tma_microcode_sequencer / (tma_few_uops_instructions + tma_microcode_sequencer) * (tma_assists / tma_microcode_sequencer) * tma_fetch_latency * (tma_ms_switches + tma_branch_resteers * (tma_clears_resteers + tma_mispredicts_resteers * (10 * tma_microcode_sequencer * tma_other_mispredicts / tma_branch_mispredicts)) / (tma_clears_resteers + tma_mispredicts_resteers + tma_unknown_branches)) / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches)) - tma_info_bottleneck_big_code",
748*4cc49942SIan Rogers        "MetricGroup": "BvFB;Fed;FetchBW;Frontend",
7498c61edb8SIan Rogers        "MetricName": "tma_info_bottleneck_instruction_fetch_bw",
7508c61edb8SIan Rogers        "MetricThreshold": "tma_info_bottleneck_instruction_fetch_bw > 20"
7516635df2fSIan Rogers    },
7526635df2fSIan Rogers    {
753c72a2043SIan Rogers        "BriefDescription": "Total pipeline cost of irregular execution (e.g",
754c72a2043SIan Rogers        "MetricExpr": "100 * (tma_microcode_sequencer / (tma_few_uops_instructions + tma_microcode_sequencer) * (tma_assists / tma_microcode_sequencer) * tma_fetch_latency * (tma_ms_switches + tma_branch_resteers * (tma_clears_resteers + tma_mispredicts_resteers * (10 * tma_microcode_sequencer * tma_other_mispredicts / tma_branch_mispredicts)) / (tma_clears_resteers + tma_mispredicts_resteers + tma_unknown_branches)) / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches) + 10 * tma_microcode_sequencer * tma_other_mispredicts / tma_branch_mispredicts * tma_branch_mispredicts + tma_machine_clears * tma_other_nukes / tma_other_nukes + tma_core_bound * (tma_serializing_operation + tma_core_bound * RS_EVENTS.EMPTY_CYCLES / tma_info_thread_clks * tma_ports_utilized_0) / (tma_divider + tma_ports_utilization + tma_serializing_operation) + tma_microcode_sequencer / (tma_few_uops_instructions + tma_microcode_sequencer) * (tma_assists / tma_microcode_sequencer) * tma_heavy_operations)",
755*4cc49942SIan Rogers        "MetricGroup": "Bad;BvIO;Cor;Ret;tma_issueMS",
756c72a2043SIan Rogers        "MetricName": "tma_info_bottleneck_irregular_overhead",
757c72a2043SIan Rogers        "MetricThreshold": "tma_info_bottleneck_irregular_overhead > 10",
758c72a2043SIan Rogers        "PublicDescription": "Total pipeline cost of irregular execution (e.g. FP-assists in HPC, Wait time with work imbalance multithreaded workloads, overhead in system services or virtualized environments). Related metrics: tma_microcode_sequencer, tma_ms_switches"
7596635df2fSIan Rogers    },
7606635df2fSIan Rogers    {
7616635df2fSIan Rogers        "BriefDescription": "Total pipeline cost of Memory Address Translation related bottlenecks (data-side TLBs)",
7626635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
763*4cc49942SIan Rogers        "MetricExpr": "100 * (tma_memory_bound * (tma_l1_bound / max(tma_memory_bound, tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_pmm_bound + tma_store_bound)) * (tma_dtlb_load / max(tma_l1_bound, tma_4k_aliasing + tma_dtlb_load + tma_fb_full + tma_l1_hit_latency + tma_lock_latency + tma_split_loads + tma_store_fwd_blk)) + tma_memory_bound * (tma_store_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_pmm_bound + tma_store_bound)) * (tma_dtlb_store / (tma_dtlb_store + tma_false_sharing + tma_split_stores + tma_store_latency)))",
764*4cc49942SIan Rogers        "MetricGroup": "BvMT;Mem;MemoryTLB;Offcore;tma_issueTLB",
7658c61edb8SIan Rogers        "MetricName": "tma_info_bottleneck_memory_data_tlbs",
7668c61edb8SIan Rogers        "MetricThreshold": "tma_info_bottleneck_memory_data_tlbs > 20",
767c72a2043SIan Rogers        "PublicDescription": "Total pipeline cost of Memory Address Translation related bottlenecks (data-side TLBs). Related metrics: tma_dtlb_load, tma_dtlb_store, tma_info_bottleneck_memory_synchronization"
7686635df2fSIan Rogers    },
7696635df2fSIan Rogers    {
770c72a2043SIan Rogers        "BriefDescription": "Total pipeline cost of Memory Synchronization related bottlenecks (data transfers and coherency updates across processors)",
771c72a2043SIan Rogers        "MetricExpr": "100 * (tma_memory_bound * (tma_dram_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_pmm_bound + tma_store_bound) * (tma_mem_latency / (tma_mem_bandwidth + tma_mem_latency)) * tma_remote_cache / (tma_local_mem + tma_remote_cache + tma_remote_mem) + tma_l3_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_pmm_bound + tma_store_bound) * (tma_contested_accesses + tma_data_sharing) / (tma_contested_accesses + tma_data_sharing + tma_l3_hit_latency + tma_sq_full) + tma_store_bound / (tma_dram_bound + tma_l1_bound + tma_l2_bound + tma_l3_bound + tma_pmm_bound + tma_store_bound) * tma_false_sharing / (tma_dtlb_store + tma_false_sharing + tma_split_stores + tma_store_latency - tma_store_latency)) + tma_machine_clears * (1 - tma_other_nukes / tma_other_nukes))",
772*4cc49942SIan Rogers        "MetricGroup": "BvMS;Mem;Offcore;tma_issueTLB",
773c72a2043SIan Rogers        "MetricName": "tma_info_bottleneck_memory_synchronization",
774c72a2043SIan Rogers        "MetricThreshold": "tma_info_bottleneck_memory_synchronization > 10",
775c72a2043SIan Rogers        "PublicDescription": "Total pipeline cost of Memory Synchronization related bottlenecks (data transfers and coherency updates across processors). Related metrics: tma_dtlb_load, tma_dtlb_store, tma_info_bottleneck_memory_data_tlbs"
7766635df2fSIan Rogers    },
7776635df2fSIan Rogers    {
7786635df2fSIan Rogers        "BriefDescription": "Total pipeline cost of Branch Misprediction related bottlenecks",
7796635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
780c72a2043SIan Rogers        "MetricExpr": "100 * (1 - 10 * tma_microcode_sequencer * tma_other_mispredicts / tma_branch_mispredicts) * (tma_branch_mispredicts + tma_fetch_latency * tma_mispredicts_resteers / (tma_branch_resteers + tma_dsb_switches + tma_icache_misses + tma_itlb_misses + tma_lcp + tma_ms_switches))",
781*4cc49942SIan Rogers        "MetricGroup": "Bad;BadSpec;BrMispredicts;BvMP;tma_issueBM",
7828c61edb8SIan Rogers        "MetricName": "tma_info_bottleneck_mispredictions",
7838c61edb8SIan Rogers        "MetricThreshold": "tma_info_bottleneck_mispredictions > 20",
7848c61edb8SIan Rogers        "PublicDescription": "Total pipeline cost of Branch Misprediction related bottlenecks. Related metrics: tma_branch_mispredicts, tma_info_bad_spec_branch_misprediction_cost, tma_mispredicts_resteers"
7858c61edb8SIan Rogers    },
7868c61edb8SIan Rogers    {
787*4cc49942SIan Rogers        "BriefDescription": "Total pipeline cost of remaining bottlenecks in the back-end",
788*4cc49942SIan Rogers        "MetricExpr": "100 - (tma_info_bottleneck_big_code + tma_info_bottleneck_instruction_fetch_bw + tma_info_bottleneck_mispredictions + tma_info_bottleneck_cache_memory_bandwidth + tma_info_bottleneck_cache_memory_latency + tma_info_bottleneck_memory_data_tlbs + tma_info_bottleneck_memory_synchronization + tma_info_bottleneck_compute_bound_est + tma_info_bottleneck_irregular_overhead + tma_info_bottleneck_branching_overhead + tma_info_bottleneck_useful_work)",
789*4cc49942SIan Rogers        "MetricGroup": "BvOB;Cor;Offcore",
790c72a2043SIan Rogers        "MetricName": "tma_info_bottleneck_other_bottlenecks",
791c72a2043SIan Rogers        "MetricThreshold": "tma_info_bottleneck_other_bottlenecks > 20",
792*4cc49942SIan Rogers        "PublicDescription": "Total pipeline cost of remaining bottlenecks in the back-end. Examples include data-dependencies (Core Bound when Low ILP) and other unlisted memory-related stalls."
793*4cc49942SIan Rogers    },
794*4cc49942SIan Rogers    {
795*4cc49942SIan Rogers        "BriefDescription": "Total pipeline cost of \"useful operations\" - the portion of Retiring category not covered by Branching_Overhead nor Irregular_Overhead.",
796*4cc49942SIan Rogers        "MetricExpr": "100 * (tma_retiring - (BR_INST_RETIRED.ALL_BRANCHES + 2 * BR_INST_RETIRED.NEAR_CALL + INST_RETIRED.NOP) / tma_info_thread_slots - tma_microcode_sequencer / (tma_few_uops_instructions + tma_microcode_sequencer) * (tma_assists / tma_microcode_sequencer) * tma_heavy_operations)",
797*4cc49942SIan Rogers        "MetricGroup": "BvUW;Ret",
798*4cc49942SIan Rogers        "MetricName": "tma_info_bottleneck_useful_work",
799*4cc49942SIan Rogers        "MetricThreshold": "tma_info_bottleneck_useful_work > 20"
800c72a2043SIan Rogers    },
801c72a2043SIan Rogers    {
8028c61edb8SIan Rogers        "BriefDescription": "Fraction of branches that are CALL or RET",
8038c61edb8SIan Rogers        "MetricExpr": "(BR_INST_RETIRED.NEAR_CALL + BR_INST_RETIRED.NEAR_RETURN) / BR_INST_RETIRED.ALL_BRANCHES",
8048c61edb8SIan Rogers        "MetricGroup": "Bad;Branches",
8058c61edb8SIan Rogers        "MetricName": "tma_info_branches_callret"
8068c61edb8SIan Rogers    },
8078c61edb8SIan Rogers    {
8088c61edb8SIan Rogers        "BriefDescription": "Fraction of branches that are non-taken conditionals",
8098c61edb8SIan Rogers        "MetricExpr": "BR_INST_RETIRED.NOT_TAKEN / BR_INST_RETIRED.ALL_BRANCHES",
8108c61edb8SIan Rogers        "MetricGroup": "Bad;Branches;CodeGen;PGO",
8118c61edb8SIan Rogers        "MetricName": "tma_info_branches_cond_nt"
8128c61edb8SIan Rogers    },
8138c61edb8SIan Rogers    {
8148c61edb8SIan Rogers        "BriefDescription": "Fraction of branches that are taken conditionals",
8158c61edb8SIan Rogers        "MetricExpr": "(BR_INST_RETIRED.CONDITIONAL - BR_INST_RETIRED.NOT_TAKEN) / BR_INST_RETIRED.ALL_BRANCHES",
8168c61edb8SIan Rogers        "MetricGroup": "Bad;Branches;CodeGen;PGO",
8178c61edb8SIan Rogers        "MetricName": "tma_info_branches_cond_tk"
8188c61edb8SIan Rogers    },
8198c61edb8SIan Rogers    {
8208c61edb8SIan Rogers        "BriefDescription": "Fraction of branches that are unconditional (direct or indirect) jumps",
8218c61edb8SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
822c72a2043SIan Rogers        "MetricExpr": "(BR_INST_RETIRED.NEAR_TAKEN - (BR_INST_RETIRED.COND - BR_INST_RETIRED.NOT_TAKEN) - 2 * BR_INST_RETIRED.NEAR_CALL) / BR_INST_RETIRED.ALL_BRANCHES",
8238c61edb8SIan Rogers        "MetricGroup": "Bad;Branches",
8248c61edb8SIan Rogers        "MetricName": "tma_info_branches_jump"
8258c61edb8SIan Rogers    },
8268c61edb8SIan Rogers    {
8278c61edb8SIan Rogers        "BriefDescription": "Core actual clocks when any Logical Processor is active on the Physical Core",
8288c61edb8SIan Rogers        "MetricExpr": "(CPU_CLK_UNHALTED.THREAD / 2 * (1 + CPU_CLK_UNHALTED.ONE_THREAD_ACTIVE / CPU_CLK_UNHALTED.REF_XCLK) if #core_wide < 1 else (CPU_CLK_UNHALTED.THREAD_ANY / 2 if #SMT_on else tma_info_thread_clks))",
8298c61edb8SIan Rogers        "MetricGroup": "SMT",
8308c61edb8SIan Rogers        "MetricName": "tma_info_core_core_clks"
8318c61edb8SIan Rogers    },
8328c61edb8SIan Rogers    {
8338c61edb8SIan Rogers        "BriefDescription": "Instructions Per Cycle across hyper-threads (per physical core)",
8348c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / tma_info_core_core_clks",
8358c61edb8SIan Rogers        "MetricGroup": "Ret;SMT;TmaL1;tma_L1_group",
8368c61edb8SIan Rogers        "MetricName": "tma_info_core_coreipc"
8378c61edb8SIan Rogers    },
8388c61edb8SIan Rogers    {
839c72a2043SIan Rogers        "BriefDescription": "uops Executed per Cycle",
840c72a2043SIan Rogers        "MetricExpr": "UOPS_EXECUTED.THREAD / tma_info_thread_clks",
841c72a2043SIan Rogers        "MetricGroup": "Power",
842c72a2043SIan Rogers        "MetricName": "tma_info_core_epc"
843c72a2043SIan Rogers    },
844c72a2043SIan Rogers    {
8458c61edb8SIan Rogers        "BriefDescription": "Floating Point Operations Per Cycle",
8468c61edb8SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
847c72a2043SIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.SCALAR + 2 * FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + 4 * FP_ARITH_INST_RETIRED.4_FLOPS + 8 * FP_ARITH_INST_RETIRED.8_FLOPS + 16 * FP_ARITH_INST_RETIRED.512B_PACKED_SINGLE) / tma_info_core_core_clks",
8488c61edb8SIan Rogers        "MetricGroup": "Flops;Ret",
8498c61edb8SIan Rogers        "MetricName": "tma_info_core_flopc"
8508c61edb8SIan Rogers    },
8518c61edb8SIan Rogers    {
8528c61edb8SIan Rogers        "BriefDescription": "Actual per-core usage of the Floating Point non-X87 execution units (regardless of precision or vector-width)",
853*4cc49942SIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.SCALAR + cpu@FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE\\,umask\\=0xfc@) / (2 * tma_info_core_core_clks)",
8548c61edb8SIan Rogers        "MetricGroup": "Cor;Flops;HPC",
8558c61edb8SIan Rogers        "MetricName": "tma_info_core_fp_arith_utilization",
8568c61edb8SIan Rogers        "PublicDescription": "Actual per-core usage of the Floating Point non-X87 execution units (regardless of precision or vector-width). Values > 1 are possible due to ([BDW+] Fused-Multiply Add (FMA) counting - common; [ADL+] use all of ADD/MUL/FMA in Scalar or 128/256-bit vectors - less common)."
8578c61edb8SIan Rogers    },
8588c61edb8SIan Rogers    {
859c72a2043SIan Rogers        "BriefDescription": "Instruction-Level-Parallelism (average number of uops executed when there is execution) per thread (logical-processor)",
860c72a2043SIan Rogers        "MetricExpr": "UOPS_EXECUTED.THREAD / cpu@UOPS_EXECUTED.THREAD\\,cmask\\=1@",
8618c61edb8SIan Rogers        "MetricGroup": "Backend;Cor;Pipeline;PortsUtil",
8628c61edb8SIan Rogers        "MetricName": "tma_info_core_ilp"
8638c61edb8SIan Rogers    },
8648c61edb8SIan Rogers    {
8658c61edb8SIan Rogers        "BriefDescription": "Fraction of Uops delivered by the DSB (aka Decoded ICache; or Uop Cache)",
8668c61edb8SIan Rogers        "MetricExpr": "IDQ.DSB_UOPS / (IDQ.DSB_UOPS + IDQ.MITE_UOPS + IDQ.MS_UOPS)",
8678c61edb8SIan Rogers        "MetricGroup": "DSB;Fed;FetchBW;tma_issueFB",
8688c61edb8SIan Rogers        "MetricName": "tma_info_frontend_dsb_coverage",
8698c61edb8SIan Rogers        "MetricThreshold": "tma_info_frontend_dsb_coverage < 0.7 & tma_info_thread_ipc / 4 > 0.35",
870*4cc49942SIan Rogers        "PublicDescription": "Fraction of Uops delivered by the DSB (aka Decoded ICache; or Uop Cache). Related metrics: tma_dsb_switches, tma_fetch_bandwidth, tma_info_botlnk_l2_dsb_bandwidth, tma_info_botlnk_l2_dsb_misses, tma_info_inst_mix_iptb, tma_lcp"
8718c61edb8SIan Rogers    },
8728c61edb8SIan Rogers    {
8738c61edb8SIan Rogers        "BriefDescription": "Average number of cycles of a switch from the DSB fetch-unit to MITE fetch unit - see DSB_Switches tree node for details.",
8748c61edb8SIan Rogers        "MetricExpr": "DSB2MITE_SWITCHES.PENALTY_CYCLES / DSB2MITE_SWITCHES.COUNT",
8758c61edb8SIan Rogers        "MetricGroup": "DSBmiss",
8768c61edb8SIan Rogers        "MetricName": "tma_info_frontend_dsb_switch_cost"
8778c61edb8SIan Rogers    },
8788c61edb8SIan Rogers    {
8798c61edb8SIan Rogers        "BriefDescription": "Average number of Uops issued by front-end when it issued something",
8808c61edb8SIan Rogers        "MetricExpr": "UOPS_ISSUED.ANY / cpu@UOPS_ISSUED.ANY\\,cmask\\=1@",
8818c61edb8SIan Rogers        "MetricGroup": "Fed;FetchBW",
8828c61edb8SIan Rogers        "MetricName": "tma_info_frontend_fetch_upc"
8838c61edb8SIan Rogers    },
8848c61edb8SIan Rogers    {
8858c61edb8SIan Rogers        "BriefDescription": "Average Latency for L1 instruction cache misses",
8868c61edb8SIan Rogers        "MetricExpr": "ICACHE_16B.IFDATA_STALL / cpu@ICACHE_16B.IFDATA_STALL\\,cmask\\=1\\,edge@ + 2",
8878c61edb8SIan Rogers        "MetricGroup": "Fed;FetchLat;IcMiss",
8888c61edb8SIan Rogers        "MetricName": "tma_info_frontend_icache_miss_latency"
8898c61edb8SIan Rogers    },
8908c61edb8SIan Rogers    {
8918c61edb8SIan Rogers        "BriefDescription": "Instructions per non-speculative DSB miss (lower number means higher occurrence rate)",
8928c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / FRONTEND_RETIRED.ANY_DSB_MISS",
8938c61edb8SIan Rogers        "MetricGroup": "DSBmiss;Fed",
8948c61edb8SIan Rogers        "MetricName": "tma_info_frontend_ipdsb_miss_ret",
8958c61edb8SIan Rogers        "MetricThreshold": "tma_info_frontend_ipdsb_miss_ret < 50"
8968c61edb8SIan Rogers    },
8978c61edb8SIan Rogers    {
8988c61edb8SIan Rogers        "BriefDescription": "Instructions per speculative Unknown Branch Misprediction (BAClear) (lower number means higher occurrence rate)",
8998c61edb8SIan Rogers        "MetricExpr": "tma_info_inst_mix_instructions / BACLEARS.ANY",
9008c61edb8SIan Rogers        "MetricGroup": "Fed",
9018c61edb8SIan Rogers        "MetricName": "tma_info_frontend_ipunknown_branch"
9028c61edb8SIan Rogers    },
9038c61edb8SIan Rogers    {
9048c61edb8SIan Rogers        "BriefDescription": "L2 cache true code cacheline misses per kilo instruction",
9058c61edb8SIan Rogers        "MetricExpr": "1e3 * FRONTEND_RETIRED.L2_MISS / INST_RETIRED.ANY",
9068c61edb8SIan Rogers        "MetricGroup": "IcMiss",
9078c61edb8SIan Rogers        "MetricName": "tma_info_frontend_l2mpki_code"
9088c61edb8SIan Rogers    },
9098c61edb8SIan Rogers    {
9108c61edb8SIan Rogers        "BriefDescription": "L2 cache speculative code cacheline misses per kilo instruction",
9118c61edb8SIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.CODE_RD_MISS / INST_RETIRED.ANY",
9128c61edb8SIan Rogers        "MetricGroup": "IcMiss",
9138c61edb8SIan Rogers        "MetricName": "tma_info_frontend_l2mpki_code_all"
9148c61edb8SIan Rogers    },
9158c61edb8SIan Rogers    {
9168c61edb8SIan Rogers        "BriefDescription": "Branch instructions per taken branch.",
9178c61edb8SIan Rogers        "MetricExpr": "BR_INST_RETIRED.ALL_BRANCHES / BR_INST_RETIRED.NEAR_TAKEN",
9188c61edb8SIan Rogers        "MetricGroup": "Branches;Fed;PGO",
9198c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_bptkbranch"
9208c61edb8SIan Rogers    },
9218c61edb8SIan Rogers    {
9228c61edb8SIan Rogers        "BriefDescription": "Total number of retired Instructions",
9238c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY",
9248c61edb8SIan Rogers        "MetricGroup": "Summary;TmaL1;tma_L1_group",
9258c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_instructions",
9268c61edb8SIan Rogers        "PublicDescription": "Total number of retired Instructions. Sample with: INST_RETIRED.PREC_DIST"
9278c61edb8SIan Rogers    },
9288c61edb8SIan Rogers    {
9298c61edb8SIan Rogers        "BriefDescription": "Instructions per FP Arithmetic instruction (lower number means higher occurrence rate)",
9308c61edb8SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
931*4cc49942SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (FP_ARITH_INST_RETIRED.SCALAR + cpu@FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE\\,umask\\=0xfc@)",
9328c61edb8SIan Rogers        "MetricGroup": "Flops;InsType",
9338c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_iparith",
9348c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith < 10",
935c72a2043SIan Rogers        "PublicDescription": "Instructions per FP Arithmetic instruction (lower number means higher occurrence rate). Values < 1 are possible due to intentional FMA double counting. Approximated prior to BDW."
9368c61edb8SIan Rogers    },
9378c61edb8SIan Rogers    {
9388c61edb8SIan Rogers        "BriefDescription": "Instructions per FP Arithmetic AVX/SSE 128-bit instruction (lower number means higher occurrence rate)",
9398c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.128B_PACKED_SINGLE)",
9408c61edb8SIan Rogers        "MetricGroup": "Flops;FpVector;InsType",
9418c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_iparith_avx128",
9428c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith_avx128 < 10",
943c72a2043SIan Rogers        "PublicDescription": "Instructions per FP Arithmetic AVX/SSE 128-bit instruction (lower number means higher occurrence rate). Values < 1 are possible due to intentional FMA double counting."
9448c61edb8SIan Rogers    },
9458c61edb8SIan Rogers    {
9468c61edb8SIan Rogers        "BriefDescription": "Instructions per FP Arithmetic AVX* 256-bit instruction (lower number means higher occurrence rate)",
9478c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (FP_ARITH_INST_RETIRED.256B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.256B_PACKED_SINGLE)",
9488c61edb8SIan Rogers        "MetricGroup": "Flops;FpVector;InsType",
9498c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_iparith_avx256",
9508c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith_avx256 < 10",
951c72a2043SIan Rogers        "PublicDescription": "Instructions per FP Arithmetic AVX* 256-bit instruction (lower number means higher occurrence rate). Values < 1 are possible due to intentional FMA double counting."
9528c61edb8SIan Rogers    },
9538c61edb8SIan Rogers    {
9548c61edb8SIan Rogers        "BriefDescription": "Instructions per FP Arithmetic AVX 512-bit instruction (lower number means higher occurrence rate)",
9558c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (FP_ARITH_INST_RETIRED.512B_PACKED_DOUBLE + FP_ARITH_INST_RETIRED.512B_PACKED_SINGLE)",
9568c61edb8SIan Rogers        "MetricGroup": "Flops;FpVector;InsType",
9578c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_iparith_avx512",
9588c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith_avx512 < 10",
959c72a2043SIan Rogers        "PublicDescription": "Instructions per FP Arithmetic AVX 512-bit instruction (lower number means higher occurrence rate). Values < 1 are possible due to intentional FMA double counting."
9608c61edb8SIan Rogers    },
9618c61edb8SIan Rogers    {
9628c61edb8SIan Rogers        "BriefDescription": "Instructions per FP Arithmetic Scalar Double-Precision instruction (lower number means higher occurrence rate)",
9638c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / FP_ARITH_INST_RETIRED.SCALAR_DOUBLE",
9648c61edb8SIan Rogers        "MetricGroup": "Flops;FpScalar;InsType",
9658c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_iparith_scalar_dp",
9668c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith_scalar_dp < 10",
967c72a2043SIan Rogers        "PublicDescription": "Instructions per FP Arithmetic Scalar Double-Precision instruction (lower number means higher occurrence rate). Values < 1 are possible due to intentional FMA double counting."
9688c61edb8SIan Rogers    },
9698c61edb8SIan Rogers    {
9708c61edb8SIan Rogers        "BriefDescription": "Instructions per FP Arithmetic Scalar Single-Precision instruction (lower number means higher occurrence rate)",
9718c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / FP_ARITH_INST_RETIRED.SCALAR_SINGLE",
9728c61edb8SIan Rogers        "MetricGroup": "Flops;FpScalar;InsType",
9738c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_iparith_scalar_sp",
9748c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iparith_scalar_sp < 10",
975c72a2043SIan Rogers        "PublicDescription": "Instructions per FP Arithmetic Scalar Single-Precision instruction (lower number means higher occurrence rate). Values < 1 are possible due to intentional FMA double counting."
9768c61edb8SIan Rogers    },
9778c61edb8SIan Rogers    {
9788c61edb8SIan Rogers        "BriefDescription": "Instructions per Branch (lower number means higher occurrence rate)",
9798c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.ALL_BRANCHES",
9808c61edb8SIan Rogers        "MetricGroup": "Branches;Fed;InsType",
9818c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_ipbranch",
9828c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipbranch < 8"
9838c61edb8SIan Rogers    },
9848c61edb8SIan Rogers    {
9858c61edb8SIan Rogers        "BriefDescription": "Instructions per (near) call (lower number means higher occurrence rate)",
9868c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.NEAR_CALL",
9878c61edb8SIan Rogers        "MetricGroup": "Branches;Fed;PGO",
9888c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_ipcall",
9898c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipcall < 200"
9908c61edb8SIan Rogers    },
9918c61edb8SIan Rogers    {
9928c61edb8SIan Rogers        "BriefDescription": "Instructions per Floating Point (FP) Operation (lower number means higher occurrence rate)",
9938c61edb8SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
994c72a2043SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (FP_ARITH_INST_RETIRED.SCALAR + 2 * FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + 4 * FP_ARITH_INST_RETIRED.4_FLOPS + 8 * FP_ARITH_INST_RETIRED.8_FLOPS + 16 * FP_ARITH_INST_RETIRED.512B_PACKED_SINGLE)",
9958c61edb8SIan Rogers        "MetricGroup": "Flops;InsType",
9968c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_ipflop",
9978c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipflop < 10"
9988c61edb8SIan Rogers    },
9998c61edb8SIan Rogers    {
10008c61edb8SIan Rogers        "BriefDescription": "Instructions per Load (lower number means higher occurrence rate)",
10018c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / MEM_INST_RETIRED.ALL_LOADS",
10028c61edb8SIan Rogers        "MetricGroup": "InsType",
10038c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_ipload",
10048c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipload < 3"
10058c61edb8SIan Rogers    },
10068c61edb8SIan Rogers    {
1007c72a2043SIan Rogers        "BriefDescription": "Instructions per PAUSE (lower number means higher occurrence rate)",
1008c72a2043SIan Rogers        "MetricExpr": "tma_info_inst_mix_instructions / ROB_MISC_EVENTS.PAUSE_INST",
1009c72a2043SIan Rogers        "MetricGroup": "Flops;FpVector;InsType",
1010c72a2043SIan Rogers        "MetricName": "tma_info_inst_mix_ippause"
1011c72a2043SIan Rogers    },
1012c72a2043SIan Rogers    {
10138c61edb8SIan Rogers        "BriefDescription": "Instructions per Store (lower number means higher occurrence rate)",
10148c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / MEM_INST_RETIRED.ALL_STORES",
10158c61edb8SIan Rogers        "MetricGroup": "InsType",
10168c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_ipstore",
10178c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipstore < 8"
10188c61edb8SIan Rogers    },
10198c61edb8SIan Rogers    {
10208c61edb8SIan Rogers        "BriefDescription": "Instructions per Software prefetch instruction (of any type: NTA/T0/T1/T2/Prefetch) (lower number means higher occurrence rate)",
10218c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / cpu@SW_PREFETCH_ACCESS.T0\\,umask\\=0xF@",
10228c61edb8SIan Rogers        "MetricGroup": "Prefetches",
10238c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_ipswpf",
10248c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_ipswpf < 100"
10258c61edb8SIan Rogers    },
10268c61edb8SIan Rogers    {
1027*4cc49942SIan Rogers        "BriefDescription": "Instructions per taken branch",
10288c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.NEAR_TAKEN",
10298c61edb8SIan Rogers        "MetricGroup": "Branches;Fed;FetchBW;Frontend;PGO;tma_issueFB",
10308c61edb8SIan Rogers        "MetricName": "tma_info_inst_mix_iptb",
10318c61edb8SIan Rogers        "MetricThreshold": "tma_info_inst_mix_iptb < 9",
1032*4cc49942SIan Rogers        "PublicDescription": "Instructions per taken branch. Related metrics: tma_dsb_switches, tma_fetch_bandwidth, tma_info_botlnk_l2_dsb_bandwidth, tma_info_botlnk_l2_dsb_misses, tma_info_frontend_dsb_coverage, tma_lcp"
1033c72a2043SIan Rogers    },
1034c72a2043SIan Rogers    {
10358c61edb8SIan Rogers        "BriefDescription": "Average per-core data fill bandwidth to the L1 data cache [GB / sec]",
1036c72a2043SIan Rogers        "MetricExpr": "tma_info_memory_l1d_cache_fill_bw",
10378c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryBW",
1038c72a2043SIan Rogers        "MetricName": "tma_info_memory_core_l1d_cache_fill_bw_2t"
10398c61edb8SIan Rogers    },
10408c61edb8SIan Rogers    {
10418c61edb8SIan Rogers        "BriefDescription": "Average per-core data fill bandwidth to the L2 cache [GB / sec]",
1042c72a2043SIan Rogers        "MetricExpr": "tma_info_memory_l2_cache_fill_bw",
10438c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryBW",
1044c72a2043SIan Rogers        "MetricName": "tma_info_memory_core_l2_cache_fill_bw_2t"
10458c61edb8SIan Rogers    },
10468c61edb8SIan Rogers    {
10478c61edb8SIan Rogers        "BriefDescription": "Rate of non silent evictions from the L2 cache per Kilo instruction",
10488c61edb8SIan Rogers        "MetricExpr": "1e3 * L2_LINES_OUT.NON_SILENT / tma_info_inst_mix_instructions",
10498c61edb8SIan Rogers        "MetricGroup": "L2Evicts;Mem;Server",
10508c61edb8SIan Rogers        "MetricName": "tma_info_memory_core_l2_evictions_nonsilent_pki"
10518c61edb8SIan Rogers    },
10528c61edb8SIan Rogers    {
10538c61edb8SIan Rogers        "BriefDescription": "Rate of silent evictions from the L2 cache per Kilo instruction where the evicted lines are dropped (no writeback to L3 or memory)",
10548c61edb8SIan Rogers        "MetricExpr": "1e3 * L2_LINES_OUT.SILENT / tma_info_inst_mix_instructions",
10558c61edb8SIan Rogers        "MetricGroup": "L2Evicts;Mem;Server",
10568c61edb8SIan Rogers        "MetricName": "tma_info_memory_core_l2_evictions_silent_pki"
10578c61edb8SIan Rogers    },
10588c61edb8SIan Rogers    {
10598c61edb8SIan Rogers        "BriefDescription": "Average per-core data access bandwidth to the L3 cache [GB / sec]",
1060c72a2043SIan Rogers        "MetricExpr": "tma_info_memory_l3_cache_access_bw",
10618c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryBW;Offcore",
1062c72a2043SIan Rogers        "MetricName": "tma_info_memory_core_l3_cache_access_bw_2t"
10638c61edb8SIan Rogers    },
10648c61edb8SIan Rogers    {
10658c61edb8SIan Rogers        "BriefDescription": "Average per-core data fill bandwidth to the L3 cache [GB / sec]",
1066c72a2043SIan Rogers        "MetricExpr": "tma_info_memory_l3_cache_fill_bw",
10678c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryBW",
1068c72a2043SIan Rogers        "MetricName": "tma_info_memory_core_l3_cache_fill_bw_2t"
1069c72a2043SIan Rogers    },
1070c72a2043SIan Rogers    {
10718c61edb8SIan Rogers        "BriefDescription": "Fill Buffer (FB) hits per kilo instructions for retired demand loads (L1D misses that merge into ongoing miss-handling entries)",
10728c61edb8SIan Rogers        "MetricExpr": "1e3 * MEM_LOAD_RETIRED.FB_HIT / INST_RETIRED.ANY",
1073c72a2043SIan Rogers        "MetricGroup": "CacheHits;Mem",
10748c61edb8SIan Rogers        "MetricName": "tma_info_memory_fb_hpki"
10758c61edb8SIan Rogers    },
10768c61edb8SIan Rogers    {
1077*4cc49942SIan Rogers        "BriefDescription": "Average per-thread data fill bandwidth to the L1 data cache [GB / sec]",
1078c72a2043SIan Rogers        "MetricExpr": "64 * L1D.REPLACEMENT / 1e9 / duration_time",
1079c72a2043SIan Rogers        "MetricGroup": "Mem;MemoryBW",
1080c72a2043SIan Rogers        "MetricName": "tma_info_memory_l1d_cache_fill_bw"
1081c72a2043SIan Rogers    },
1082c72a2043SIan Rogers    {
10838c61edb8SIan Rogers        "BriefDescription": "L1 cache true misses per kilo instruction for retired demand loads",
10848c61edb8SIan Rogers        "MetricExpr": "1e3 * MEM_LOAD_RETIRED.L1_MISS / INST_RETIRED.ANY",
1085c72a2043SIan Rogers        "MetricGroup": "CacheHits;Mem",
10868c61edb8SIan Rogers        "MetricName": "tma_info_memory_l1mpki"
10878c61edb8SIan Rogers    },
10888c61edb8SIan Rogers    {
10898c61edb8SIan Rogers        "BriefDescription": "L1 cache true misses per kilo instruction for all demand loads (including speculative)",
10908c61edb8SIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.ALL_DEMAND_DATA_RD / INST_RETIRED.ANY",
1091c72a2043SIan Rogers        "MetricGroup": "CacheHits;Mem",
10928c61edb8SIan Rogers        "MetricName": "tma_info_memory_l1mpki_load"
10938c61edb8SIan Rogers    },
10948c61edb8SIan Rogers    {
1095*4cc49942SIan Rogers        "BriefDescription": "Average per-thread data fill bandwidth to the L2 cache [GB / sec]",
1096c72a2043SIan Rogers        "MetricExpr": "64 * L2_LINES_IN.ALL / 1e9 / duration_time",
1097c72a2043SIan Rogers        "MetricGroup": "Mem;MemoryBW",
1098c72a2043SIan Rogers        "MetricName": "tma_info_memory_l2_cache_fill_bw"
1099c72a2043SIan Rogers    },
1100c72a2043SIan Rogers    {
11018c61edb8SIan Rogers        "BriefDescription": "L2 cache hits per kilo instruction for all request types (including speculative)",
11028c61edb8SIan Rogers        "MetricExpr": "1e3 * (L2_RQSTS.REFERENCES - L2_RQSTS.MISS) / INST_RETIRED.ANY",
1103c72a2043SIan Rogers        "MetricGroup": "CacheHits;Mem",
11048c61edb8SIan Rogers        "MetricName": "tma_info_memory_l2hpki_all"
11058c61edb8SIan Rogers    },
11068c61edb8SIan Rogers    {
11078c61edb8SIan Rogers        "BriefDescription": "L2 cache hits per kilo instruction for all demand loads  (including speculative)",
11088c61edb8SIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.DEMAND_DATA_RD_HIT / INST_RETIRED.ANY",
1109c72a2043SIan Rogers        "MetricGroup": "CacheHits;Mem",
11108c61edb8SIan Rogers        "MetricName": "tma_info_memory_l2hpki_load"
11118c61edb8SIan Rogers    },
11128c61edb8SIan Rogers    {
11138c61edb8SIan Rogers        "BriefDescription": "L2 cache true misses per kilo instruction for retired demand loads",
11148c61edb8SIan Rogers        "MetricExpr": "1e3 * MEM_LOAD_RETIRED.L2_MISS / INST_RETIRED.ANY",
1115c72a2043SIan Rogers        "MetricGroup": "Backend;CacheHits;Mem",
11168c61edb8SIan Rogers        "MetricName": "tma_info_memory_l2mpki"
11178c61edb8SIan Rogers    },
11188c61edb8SIan Rogers    {
11198c61edb8SIan Rogers        "BriefDescription": "L2 cache ([RKL+] true) misses per kilo instruction for all request types (including speculative)",
11208c61edb8SIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.MISS / INST_RETIRED.ANY",
1121c72a2043SIan Rogers        "MetricGroup": "CacheHits;Mem;Offcore",
11228c61edb8SIan Rogers        "MetricName": "tma_info_memory_l2mpki_all"
11238c61edb8SIan Rogers    },
11248c61edb8SIan Rogers    {
11258c61edb8SIan Rogers        "BriefDescription": "L2 cache ([RKL+] true) misses per kilo instruction for all demand loads  (including speculative)",
11268c61edb8SIan Rogers        "MetricExpr": "1e3 * L2_RQSTS.DEMAND_DATA_RD_MISS / INST_RETIRED.ANY",
1127c72a2043SIan Rogers        "MetricGroup": "CacheHits;Mem",
11288c61edb8SIan Rogers        "MetricName": "tma_info_memory_l2mpki_load"
11298c61edb8SIan Rogers    },
11308c61edb8SIan Rogers    {
1131*4cc49942SIan Rogers        "BriefDescription": "Offcore requests (L2 cache miss) per kilo instruction for demand RFOs",
1132*4cc49942SIan Rogers        "MetricExpr": "1e3 * OFFCORE_REQUESTS.DEMAND_RFO / INST_RETIRED.ANY",
1133*4cc49942SIan Rogers        "MetricGroup": "CacheMisses;Offcore",
1134*4cc49942SIan Rogers        "MetricName": "tma_info_memory_l2mpki_rfo"
1135*4cc49942SIan Rogers    },
1136*4cc49942SIan Rogers    {
1137*4cc49942SIan Rogers        "BriefDescription": "Average per-thread data access bandwidth to the L3 cache [GB / sec]",
1138c72a2043SIan Rogers        "MetricExpr": "64 * OFFCORE_REQUESTS.ALL_REQUESTS / 1e9 / duration_time",
1139c72a2043SIan Rogers        "MetricGroup": "Mem;MemoryBW;Offcore",
1140c72a2043SIan Rogers        "MetricName": "tma_info_memory_l3_cache_access_bw"
1141c72a2043SIan Rogers    },
1142c72a2043SIan Rogers    {
1143*4cc49942SIan Rogers        "BriefDescription": "Average per-thread data fill bandwidth to the L3 cache [GB / sec]",
1144c72a2043SIan Rogers        "MetricExpr": "64 * LONGEST_LAT_CACHE.MISS / 1e9 / duration_time",
1145c72a2043SIan Rogers        "MetricGroup": "Mem;MemoryBW",
1146c72a2043SIan Rogers        "MetricName": "tma_info_memory_l3_cache_fill_bw"
1147c72a2043SIan Rogers    },
1148c72a2043SIan Rogers    {
11498c61edb8SIan Rogers        "BriefDescription": "L3 cache true misses per kilo instruction for retired demand loads",
11508c61edb8SIan Rogers        "MetricExpr": "1e3 * MEM_LOAD_RETIRED.L3_MISS / INST_RETIRED.ANY",
1151c72a2043SIan Rogers        "MetricGroup": "Mem",
11528c61edb8SIan Rogers        "MetricName": "tma_info_memory_l3mpki"
11538c61edb8SIan Rogers    },
11548c61edb8SIan Rogers    {
1155c72a2043SIan Rogers        "BriefDescription": "Average Parallel L2 cache miss data reads",
1156c72a2043SIan Rogers        "MetricExpr": "OFFCORE_REQUESTS_OUTSTANDING.ALL_DATA_RD / OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DATA_RD",
1157c72a2043SIan Rogers        "MetricGroup": "Memory_BW;Offcore",
1158c72a2043SIan Rogers        "MetricName": "tma_info_memory_latency_data_l2_mlp"
1159c72a2043SIan Rogers    },
1160c72a2043SIan Rogers    {
1161c72a2043SIan Rogers        "BriefDescription": "Average Latency for L2 cache miss demand Loads",
1162*4cc49942SIan Rogers        "MetricExpr": "OFFCORE_REQUESTS_OUTSTANDING.DEMAND_DATA_RD / OFFCORE_REQUESTS.DEMAND_DATA_RD",
1163c72a2043SIan Rogers        "MetricGroup": "Memory_Lat;Offcore",
1164c72a2043SIan Rogers        "MetricName": "tma_info_memory_latency_load_l2_miss_latency"
1165c72a2043SIan Rogers    },
1166c72a2043SIan Rogers    {
1167c72a2043SIan Rogers        "BriefDescription": "Average Parallel L2 cache miss demand Loads",
1168c72a2043SIan Rogers        "MetricExpr": "OFFCORE_REQUESTS_OUTSTANDING.DEMAND_DATA_RD / OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DEMAND_DATA_RD",
1169af34a16dSIan Rogers        "MetricGroup": "Memory_BW;Offcore",
1170*4cc49942SIan Rogers        "MetricName": "tma_info_memory_latency_load_l2_mlp"
1171c72a2043SIan Rogers    },
1172c72a2043SIan Rogers    {
11738c61edb8SIan Rogers        "BriefDescription": "Actual Average Latency for L1 data-cache miss demand load operations (in core cycles)",
11748c61edb8SIan Rogers        "MetricExpr": "L1D_PEND_MISS.PENDING / (MEM_LOAD_RETIRED.L1_MISS + MEM_LOAD_RETIRED.FB_HIT)",
11758c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryBound;MemoryLat",
11768c61edb8SIan Rogers        "MetricName": "tma_info_memory_load_miss_real_latency"
11776635df2fSIan Rogers    },
11786635df2fSIan Rogers    {
1179c72a2043SIan Rogers        "BriefDescription": "Un-cacheable retired load per kilo instruction",
1180*4cc49942SIan Rogers        "MetricExpr": "1e3 * MEM_LOAD_MISC_RETIRED.UC / INST_RETIRED.ANY",
1181c72a2043SIan Rogers        "MetricGroup": "Mem",
1182c72a2043SIan Rogers        "MetricName": "tma_info_memory_mix_uc_load_pki"
1183c72a2043SIan Rogers    },
1184c72a2043SIan Rogers    {
11856635df2fSIan Rogers        "BriefDescription": "Memory-Level-Parallelism (average number of L1 miss demand load when there is at least one such miss",
11866635df2fSIan Rogers        "MetricExpr": "L1D_PEND_MISS.PENDING / L1D_PEND_MISS.PENDING_CYCLES",
11876635df2fSIan Rogers        "MetricGroup": "Mem;MemoryBW;MemoryBound",
11888c61edb8SIan Rogers        "MetricName": "tma_info_memory_mlp",
11896635df2fSIan Rogers        "PublicDescription": "Memory-Level-Parallelism (average number of L1 miss demand load when there is at least one such miss. Per-Logical Processor)"
11906635df2fSIan Rogers    },
11916635df2fSIan Rogers    {
11928c61edb8SIan Rogers        "BriefDescription": "STLB (2nd level TLB) code speculative misses per kilo instruction (misses of any page-size that complete the page walk)",
11938c61edb8SIan Rogers        "MetricExpr": "1e3 * ITLB_MISSES.WALK_COMPLETED / INST_RETIRED.ANY",
11948c61edb8SIan Rogers        "MetricGroup": "Fed;MemoryTLB",
11958c61edb8SIan Rogers        "MetricName": "tma_info_memory_tlb_code_stlb_mpki"
11968c61edb8SIan Rogers    },
11978c61edb8SIan Rogers    {
11988c61edb8SIan Rogers        "BriefDescription": "STLB (2nd level TLB) data load speculative misses per kilo instruction (misses of any page-size that complete the page walk)",
11998c61edb8SIan Rogers        "MetricExpr": "1e3 * DTLB_LOAD_MISSES.WALK_COMPLETED / INST_RETIRED.ANY",
12008c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryTLB",
12018c61edb8SIan Rogers        "MetricName": "tma_info_memory_tlb_load_stlb_mpki"
12028c61edb8SIan Rogers    },
12038c61edb8SIan Rogers    {
12046635df2fSIan Rogers        "BriefDescription": "Utilization of the core's Page Walker(s) serving STLB misses triggered by instruction/Load/Store accesses",
12056635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
12068c61edb8SIan Rogers        "MetricExpr": "(ITLB_MISSES.WALK_PENDING + DTLB_LOAD_MISSES.WALK_PENDING + DTLB_STORE_MISSES.WALK_PENDING + EPT.WALK_PENDING) / (2 * tma_info_core_core_clks)",
12076635df2fSIan Rogers        "MetricGroup": "Mem;MemoryTLB",
12088c61edb8SIan Rogers        "MetricName": "tma_info_memory_tlb_page_walks_utilization",
12098c61edb8SIan Rogers        "MetricThreshold": "tma_info_memory_tlb_page_walks_utilization > 0.5"
12106635df2fSIan Rogers    },
12116635df2fSIan Rogers    {
12126635df2fSIan Rogers        "BriefDescription": "STLB (2nd level TLB) data store speculative misses per kilo instruction (misses of any page-size that complete the page walk)",
12136635df2fSIan Rogers        "MetricExpr": "1e3 * DTLB_STORE_MISSES.WALK_COMPLETED / INST_RETIRED.ANY",
12146635df2fSIan Rogers        "MetricGroup": "Mem;MemoryTLB",
12158c61edb8SIan Rogers        "MetricName": "tma_info_memory_tlb_store_stlb_mpki"
12168c61edb8SIan Rogers    },
12178c61edb8SIan Rogers    {
1218*4cc49942SIan Rogers        "BriefDescription": "Instruction-Level-Parallelism (average number of uops executed when there is execution) per core",
1219c72a2043SIan Rogers        "MetricExpr": "UOPS_EXECUTED.THREAD / (UOPS_EXECUTED.CORE_CYCLES_GE_1 / 2 if #SMT_on else cpu@UOPS_EXECUTED.THREAD\\,cmask\\=1@)",
12208c61edb8SIan Rogers        "MetricGroup": "Cor;Pipeline;PortsUtil;SMT",
12218c61edb8SIan Rogers        "MetricName": "tma_info_pipeline_execute"
12228c61edb8SIan Rogers    },
12238c61edb8SIan Rogers    {
1224*4cc49942SIan Rogers        "BriefDescription": "Average number of uops fetched from DSB per cycle",
1225*4cc49942SIan Rogers        "MetricExpr": "IDQ.DSB_UOPS / IDQ.DSB_CYCLES_ANY",
1226*4cc49942SIan Rogers        "MetricGroup": "Fed;FetchBW",
1227*4cc49942SIan Rogers        "MetricName": "tma_info_pipeline_fetch_dsb"
1228*4cc49942SIan Rogers    },
1229*4cc49942SIan Rogers    {
1230*4cc49942SIan Rogers        "BriefDescription": "Average number of uops fetched from MITE per cycle",
1231*4cc49942SIan Rogers        "MetricExpr": "IDQ.MITE_UOPS / IDQ.MITE_CYCLES",
1232*4cc49942SIan Rogers        "MetricGroup": "Fed;FetchBW",
1233*4cc49942SIan Rogers        "MetricName": "tma_info_pipeline_fetch_mite"
1234*4cc49942SIan Rogers    },
1235*4cc49942SIan Rogers    {
1236c72a2043SIan Rogers        "BriefDescription": "Instructions per a microcode Assist invocation",
1237c72a2043SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / (FP_ASSIST.ANY + OTHER_ASSISTS.ANY)",
1238c72a2043SIan Rogers        "MetricGroup": "MicroSeq;Pipeline;Ret;Retire",
1239c72a2043SIan Rogers        "MetricName": "tma_info_pipeline_ipassist",
1240c72a2043SIan Rogers        "MetricThreshold": "tma_info_pipeline_ipassist < 100e3",
1241c72a2043SIan Rogers        "PublicDescription": "Instructions per a microcode Assist invocation. See Assists tree node for details (lower number means higher occurrence rate)"
1242c72a2043SIan Rogers    },
1243c72a2043SIan Rogers    {
12448c61edb8SIan Rogers        "BriefDescription": "Average number of Uops retired in cycles where at least one uop has retired.",
12458c61edb8SIan Rogers        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS / cpu@UOPS_RETIRED.RETIRE_SLOTS\\,cmask\\=1@",
12468c61edb8SIan Rogers        "MetricGroup": "Pipeline;Ret",
12478c61edb8SIan Rogers        "MetricName": "tma_info_pipeline_retire"
12488c61edb8SIan Rogers    },
12498c61edb8SIan Rogers    {
1250c72a2043SIan Rogers        "BriefDescription": "Measured Average Core Frequency for unhalted processors [GHz]",
12518c61edb8SIan Rogers        "MetricExpr": "tma_info_system_turbo_utilization * TSC / 1e9 / duration_time",
12528c61edb8SIan Rogers        "MetricGroup": "Power;Summary",
1253c72a2043SIan Rogers        "MetricName": "tma_info_system_core_frequency"
12548c61edb8SIan Rogers    },
12558c61edb8SIan Rogers    {
1256c72a2043SIan Rogers        "BriefDescription": "Average CPU Utilization (percentage)",
1257*4cc49942SIan Rogers        "MetricExpr": "tma_info_system_cpus_utilized / #num_cpus_online",
12588c61edb8SIan Rogers        "MetricGroup": "HPC;Summary",
12598c61edb8SIan Rogers        "MetricName": "tma_info_system_cpu_utilization"
12608c61edb8SIan Rogers    },
12618c61edb8SIan Rogers    {
1262c72a2043SIan Rogers        "BriefDescription": "Average number of utilized CPUs",
1263*4cc49942SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.REF_TSC / TSC",
1264c72a2043SIan Rogers        "MetricGroup": "Summary",
1265c72a2043SIan Rogers        "MetricName": "tma_info_system_cpus_utilized"
1266c72a2043SIan Rogers    },
1267c72a2043SIan Rogers    {
12688c61edb8SIan Rogers        "BriefDescription": "Average external Memory Bandwidth Use for reads and writes [GB / sec]",
12698c61edb8SIan Rogers        "MetricExpr": "64 * (UNC_M_CAS_COUNT.RD + UNC_M_CAS_COUNT.WR) / 1e9 / duration_time",
1270c72a2043SIan Rogers        "MetricGroup": "HPC;MemOffcore;MemoryBW;SoC;tma_issueBW",
12718c61edb8SIan Rogers        "MetricName": "tma_info_system_dram_bw_use",
1272c72a2043SIan Rogers        "PublicDescription": "Average external Memory Bandwidth Use for reads and writes [GB / sec]. Related metrics: tma_fb_full, tma_info_bottleneck_cache_memory_bandwidth, tma_mem_bandwidth, tma_sq_full"
12738c61edb8SIan Rogers    },
12748c61edb8SIan Rogers    {
12758c61edb8SIan Rogers        "BriefDescription": "Giga Floating Point Operations Per Second",
12768c61edb8SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
1277c72a2043SIan Rogers        "MetricExpr": "(FP_ARITH_INST_RETIRED.SCALAR + 2 * FP_ARITH_INST_RETIRED.128B_PACKED_DOUBLE + 4 * FP_ARITH_INST_RETIRED.4_FLOPS + 8 * FP_ARITH_INST_RETIRED.8_FLOPS + 16 * FP_ARITH_INST_RETIRED.512B_PACKED_SINGLE) / 1e9 / duration_time",
12788c61edb8SIan Rogers        "MetricGroup": "Cor;Flops;HPC",
12798c61edb8SIan Rogers        "MetricName": "tma_info_system_gflops",
1280c72a2043SIan Rogers        "PublicDescription": "Giga Floating Point Operations Per Second. Aggregate across all supported options of: FP precisions, scalar and vector instructions, vector-width"
12818c61edb8SIan Rogers    },
12828c61edb8SIan Rogers    {
12838c61edb8SIan Rogers        "BriefDescription": "Average IO (network or disk) Bandwidth Use for Reads [GB / sec]",
12848c61edb8SIan Rogers        "MetricExpr": "(UNC_IIO_DATA_REQ_OF_CPU.MEM_WRITE.PART0 + UNC_IIO_DATA_REQ_OF_CPU.MEM_WRITE.PART1 + UNC_IIO_DATA_REQ_OF_CPU.MEM_WRITE.PART2 + UNC_IIO_DATA_REQ_OF_CPU.MEM_WRITE.PART3) * 4 / 1e9 / duration_time",
1285c72a2043SIan Rogers        "MetricGroup": "IoBW;MemOffcore;Server;SoC",
1286c72a2043SIan Rogers        "MetricName": "tma_info_system_io_read_bw",
1287c72a2043SIan Rogers        "PublicDescription": "Average IO (network or disk) Bandwidth Use for Reads [GB / sec]. Bandwidth of IO reads that are initiated by end device controllers that are requesting memory from the CPU"
12888c61edb8SIan Rogers    },
12898c61edb8SIan Rogers    {
12908c61edb8SIan Rogers        "BriefDescription": "Average IO (network or disk) Bandwidth Use for Writes [GB / sec]",
12918c61edb8SIan Rogers        "MetricExpr": "(UNC_IIO_DATA_REQ_OF_CPU.MEM_READ.PART0 + UNC_IIO_DATA_REQ_OF_CPU.MEM_READ.PART1 + UNC_IIO_DATA_REQ_OF_CPU.MEM_READ.PART2 + UNC_IIO_DATA_REQ_OF_CPU.MEM_READ.PART3) * 4 / 1e9 / duration_time",
1292c72a2043SIan Rogers        "MetricGroup": "IoBW;MemOffcore;Server;SoC",
1293c72a2043SIan Rogers        "MetricName": "tma_info_system_io_write_bw",
1294c72a2043SIan Rogers        "PublicDescription": "Average IO (network or disk) Bandwidth Use for Writes [GB / sec]. Bandwidth of IO writes that are initiated by end device controllers that are writing memory to the CPU"
12958c61edb8SIan Rogers    },
12968c61edb8SIan Rogers    {
12978c61edb8SIan Rogers        "BriefDescription": "Instructions per Far Branch ( Far Branches apply upon transition from application to operating system, handling interrupts, exceptions) [lower number means higher occurrence rate]",
12988c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / BR_INST_RETIRED.FAR_BRANCH:u",
12998c61edb8SIan Rogers        "MetricGroup": "Branches;OS",
13008c61edb8SIan Rogers        "MetricName": "tma_info_system_ipfarbranch",
13018c61edb8SIan Rogers        "MetricThreshold": "tma_info_system_ipfarbranch < 1e6"
13028c61edb8SIan Rogers    },
13038c61edb8SIan Rogers    {
13048c61edb8SIan Rogers        "BriefDescription": "Cycles Per Instruction for the Operating System (OS) Kernel mode",
13058c61edb8SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD_P:k / INST_RETIRED.ANY_P:k",
13068c61edb8SIan Rogers        "MetricGroup": "OS",
13078c61edb8SIan Rogers        "MetricName": "tma_info_system_kernel_cpi"
13088c61edb8SIan Rogers    },
13098c61edb8SIan Rogers    {
13108c61edb8SIan Rogers        "BriefDescription": "Fraction of cycles spent in the Operating System (OS) Kernel mode",
13118c61edb8SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD_P:k / CPU_CLK_UNHALTED.THREAD",
13128c61edb8SIan Rogers        "MetricGroup": "OS",
13138c61edb8SIan Rogers        "MetricName": "tma_info_system_kernel_utilization",
13148c61edb8SIan Rogers        "MetricThreshold": "tma_info_system_kernel_utilization > 0.05"
13158c61edb8SIan Rogers    },
13168c61edb8SIan Rogers    {
13178c61edb8SIan Rogers        "BriefDescription": "Average latency of data read request to external DRAM memory [in nanoseconds]",
13188c61edb8SIan Rogers        "MetricExpr": "1e9 * (UNC_M_RPQ_OCCUPANCY / UNC_M_RPQ_INSERTS) / imc_0@event\\=0x0@",
1319c72a2043SIan Rogers        "MetricGroup": "MemOffcore;MemoryLat;Server;SoC",
13208c61edb8SIan Rogers        "MetricName": "tma_info_system_mem_dram_read_latency",
13218c61edb8SIan Rogers        "PublicDescription": "Average latency of data read request to external DRAM memory [in nanoseconds]. Accounts for demand loads and L1/L2 data-read prefetches"
13228c61edb8SIan Rogers    },
13238c61edb8SIan Rogers    {
13248c61edb8SIan Rogers        "BriefDescription": "Average number of parallel data read requests to external memory",
13258c61edb8SIan Rogers        "MetricExpr": "UNC_CHA_TOR_OCCUPANCY.IA_MISS_DRD / UNC_CHA_TOR_OCCUPANCY.IA_MISS_DRD@thresh\\=1@",
13268c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryBW;SoC",
13278c61edb8SIan Rogers        "MetricName": "tma_info_system_mem_parallel_reads",
13288c61edb8SIan Rogers        "PublicDescription": "Average number of parallel data read requests to external memory. Accounts for demand loads and L1/L2 prefetches"
13298c61edb8SIan Rogers    },
13308c61edb8SIan Rogers    {
13318c61edb8SIan Rogers        "BriefDescription": "Average latency of data read request to external 3D X-Point memory [in nanoseconds]",
13328c61edb8SIan Rogers        "MetricExpr": "(1e9 * (UNC_M_PMM_RPQ_OCCUPANCY.ALL / UNC_M_PMM_RPQ_INSERTS) / imc_0@event\\=0x0@ if #has_pmem > 0 else 0)",
1333c72a2043SIan Rogers        "MetricGroup": "MemOffcore;MemoryLat;Server;SoC",
13348c61edb8SIan Rogers        "MetricName": "tma_info_system_mem_pmm_read_latency",
13358c61edb8SIan Rogers        "PublicDescription": "Average latency of data read request to external 3D X-Point memory [in nanoseconds]. Accounts for demand loads and L1/L2 data-read prefetches"
13368c61edb8SIan Rogers    },
13378c61edb8SIan Rogers    {
13388c61edb8SIan Rogers        "BriefDescription": "Average latency of data read request to external memory (in nanoseconds)",
13398c61edb8SIan Rogers        "MetricExpr": "1e9 * (UNC_CHA_TOR_OCCUPANCY.IA_MISS_DRD / UNC_CHA_TOR_INSERTS.IA_MISS_DRD) / (tma_info_system_socket_clks / duration_time)",
13408c61edb8SIan Rogers        "MetricGroup": "Mem;MemoryLat;SoC",
13418c61edb8SIan Rogers        "MetricName": "tma_info_system_mem_read_latency",
13428c61edb8SIan Rogers        "PublicDescription": "Average latency of data read request to external memory (in nanoseconds). Accounts for demand loads and L1/L2 prefetches. ([RKL+]memory-controller only)"
13438c61edb8SIan Rogers    },
13448c61edb8SIan Rogers    {
13458c61edb8SIan Rogers        "BriefDescription": "Average 3DXP Memory Bandwidth Use for reads [GB / sec]",
13468c61edb8SIan Rogers        "MetricExpr": "(64 * UNC_M_PMM_RPQ_INSERTS / 1e9 / duration_time if #has_pmem > 0 else 0)",
1347c72a2043SIan Rogers        "MetricGroup": "MemOffcore;MemoryBW;Server;SoC",
13488c61edb8SIan Rogers        "MetricName": "tma_info_system_pmm_read_bw"
13498c61edb8SIan Rogers    },
13508c61edb8SIan Rogers    {
13518c61edb8SIan Rogers        "BriefDescription": "Average 3DXP Memory Bandwidth Use for Writes [GB / sec]",
13528c61edb8SIan Rogers        "MetricExpr": "(64 * UNC_M_PMM_WPQ_INSERTS / 1e9 / duration_time if #has_pmem > 0 else 0)",
1353c72a2043SIan Rogers        "MetricGroup": "MemOffcore;MemoryBW;Server;SoC",
13548c61edb8SIan Rogers        "MetricName": "tma_info_system_pmm_write_bw"
13558c61edb8SIan Rogers    },
13568c61edb8SIan Rogers    {
13578c61edb8SIan Rogers        "BriefDescription": "Fraction of Core cycles where the core was running with power-delivery for baseline license level 0",
13588c61edb8SIan Rogers        "MetricExpr": "(CORE_POWER.LVL0_TURBO_LICENSE / 2 / tma_info_core_core_clks if #SMT_on else CORE_POWER.LVL0_TURBO_LICENSE / tma_info_core_core_clks)",
13598c61edb8SIan Rogers        "MetricGroup": "Power",
13608c61edb8SIan Rogers        "MetricName": "tma_info_system_power_license0_utilization",
13618c61edb8SIan Rogers        "PublicDescription": "Fraction of Core cycles where the core was running with power-delivery for baseline license level 0.  This includes non-AVX codes, SSE, AVX 128-bit, and low-current AVX 256-bit codes."
13628c61edb8SIan Rogers    },
13638c61edb8SIan Rogers    {
13648c61edb8SIan Rogers        "BriefDescription": "Fraction of Core cycles where the core was running with power-delivery for license level 1",
13658c61edb8SIan Rogers        "MetricExpr": "(CORE_POWER.LVL1_TURBO_LICENSE / 2 / tma_info_core_core_clks if #SMT_on else CORE_POWER.LVL1_TURBO_LICENSE / tma_info_core_core_clks)",
13668c61edb8SIan Rogers        "MetricGroup": "Power",
13678c61edb8SIan Rogers        "MetricName": "tma_info_system_power_license1_utilization",
13688c61edb8SIan Rogers        "MetricThreshold": "tma_info_system_power_license1_utilization > 0.5",
13698c61edb8SIan Rogers        "PublicDescription": "Fraction of Core cycles where the core was running with power-delivery for license level 1.  This includes high current AVX 256-bit instructions as well as low current AVX 512-bit instructions."
13708c61edb8SIan Rogers    },
13718c61edb8SIan Rogers    {
13728c61edb8SIan Rogers        "BriefDescription": "Fraction of Core cycles where the core was running with power-delivery for license level 2 (introduced in SKX)",
13738c61edb8SIan Rogers        "MetricExpr": "(CORE_POWER.LVL2_TURBO_LICENSE / 2 / tma_info_core_core_clks if #SMT_on else CORE_POWER.LVL2_TURBO_LICENSE / tma_info_core_core_clks)",
13748c61edb8SIan Rogers        "MetricGroup": "Power",
13758c61edb8SIan Rogers        "MetricName": "tma_info_system_power_license2_utilization",
13768c61edb8SIan Rogers        "MetricThreshold": "tma_info_system_power_license2_utilization > 0.5",
13778c61edb8SIan Rogers        "PublicDescription": "Fraction of Core cycles where the core was running with power-delivery for license level 2 (introduced in SKX).  This includes high current AVX 512-bit instructions."
13788c61edb8SIan Rogers    },
13798c61edb8SIan Rogers    {
13808c61edb8SIan Rogers        "BriefDescription": "Fraction of cycles where both hardware Logical Processors were active",
13818c61edb8SIan Rogers        "MetricExpr": "(1 - CPU_CLK_UNHALTED.ONE_THREAD_ACTIVE / (CPU_CLK_UNHALTED.REF_XCLK_ANY / 2) if #SMT_on else 0)",
13828c61edb8SIan Rogers        "MetricGroup": "SMT",
13838c61edb8SIan Rogers        "MetricName": "tma_info_system_smt_2t_utilization"
13848c61edb8SIan Rogers    },
13858c61edb8SIan Rogers    {
13868c61edb8SIan Rogers        "BriefDescription": "Socket actual clocks when any core is active on that socket",
13878c61edb8SIan Rogers        "MetricExpr": "cha_0@event\\=0x0@",
13888c61edb8SIan Rogers        "MetricGroup": "SoC",
13898c61edb8SIan Rogers        "MetricName": "tma_info_system_socket_clks"
13906635df2fSIan Rogers    },
13916635df2fSIan Rogers    {
13926635df2fSIan Rogers        "BriefDescription": "Average Frequency Utilization relative nominal frequency",
13938c61edb8SIan Rogers        "MetricExpr": "tma_info_thread_clks / CPU_CLK_UNHALTED.REF_TSC",
13946635df2fSIan Rogers        "MetricGroup": "Power",
13958c61edb8SIan Rogers        "MetricName": "tma_info_system_turbo_utilization"
13968c61edb8SIan Rogers    },
13978c61edb8SIan Rogers    {
1398c72a2043SIan Rogers        "BriefDescription": "Measured Average Uncore Frequency for the SoC [GHz]",
1399c72a2043SIan Rogers        "MetricExpr": "tma_info_system_socket_clks / 1e9 / duration_time",
1400c72a2043SIan Rogers        "MetricGroup": "SoC",
1401c72a2043SIan Rogers        "MetricName": "tma_info_system_uncore_frequency"
1402c72a2043SIan Rogers    },
1403c72a2043SIan Rogers    {
14048c61edb8SIan Rogers        "BriefDescription": "Per-Logical Processor actual clocks when the Logical Processor is active.",
14058c61edb8SIan Rogers        "MetricExpr": "CPU_CLK_UNHALTED.THREAD",
14068c61edb8SIan Rogers        "MetricGroup": "Pipeline",
14078c61edb8SIan Rogers        "MetricName": "tma_info_thread_clks"
14088c61edb8SIan Rogers    },
14098c61edb8SIan Rogers    {
14108c61edb8SIan Rogers        "BriefDescription": "Cycles Per Instruction (per Logical Processor)",
14118c61edb8SIan Rogers        "MetricExpr": "1 / tma_info_thread_ipc",
14128c61edb8SIan Rogers        "MetricGroup": "Mem;Pipeline",
14138c61edb8SIan Rogers        "MetricName": "tma_info_thread_cpi"
14148c61edb8SIan Rogers    },
14158c61edb8SIan Rogers    {
14168c61edb8SIan Rogers        "BriefDescription": "The ratio of Executed- by Issued-Uops",
14178c61edb8SIan Rogers        "MetricExpr": "UOPS_EXECUTED.THREAD / UOPS_ISSUED.ANY",
14188c61edb8SIan Rogers        "MetricGroup": "Cor;Pipeline",
14198c61edb8SIan Rogers        "MetricName": "tma_info_thread_execute_per_issue",
14208c61edb8SIan Rogers        "PublicDescription": "The ratio of Executed- by Issued-Uops. Ratio > 1 suggests high rate of uop micro-fusions. Ratio < 1 suggest high rate of \"execute\" at rename stage."
14218c61edb8SIan Rogers    },
14228c61edb8SIan Rogers    {
14238c61edb8SIan Rogers        "BriefDescription": "Instructions Per Cycle (per Logical Processor)",
14248c61edb8SIan Rogers        "MetricExpr": "INST_RETIRED.ANY / tma_info_thread_clks",
14258c61edb8SIan Rogers        "MetricGroup": "Ret;Summary",
14268c61edb8SIan Rogers        "MetricName": "tma_info_thread_ipc"
14278c61edb8SIan Rogers    },
14288c61edb8SIan Rogers    {
14298c61edb8SIan Rogers        "BriefDescription": "Total issue-pipeline slots (per-Physical Core till ICL; per-Logical Processor ICL onward)",
14308c61edb8SIan Rogers        "MetricExpr": "4 * tma_info_core_core_clks",
14318c61edb8SIan Rogers        "MetricGroup": "TmaL1;tma_L1_group",
14328c61edb8SIan Rogers        "MetricName": "tma_info_thread_slots"
14336635df2fSIan Rogers    },
14346635df2fSIan Rogers    {
14356635df2fSIan Rogers        "BriefDescription": "Uops Per Instruction",
14366635df2fSIan Rogers        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS / INST_RETIRED.ANY",
14376635df2fSIan Rogers        "MetricGroup": "Pipeline;Ret;Retire",
14388c61edb8SIan Rogers        "MetricName": "tma_info_thread_uoppi",
14398c61edb8SIan Rogers        "MetricThreshold": "tma_info_thread_uoppi > 1.05"
14406635df2fSIan Rogers    },
14416635df2fSIan Rogers    {
1442*4cc49942SIan Rogers        "BriefDescription": "Uops per taken branch",
14436635df2fSIan Rogers        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS / BR_INST_RETIRED.NEAR_TAKEN",
14446635df2fSIan Rogers        "MetricGroup": "Branches;Fed;FetchBW",
14458c61edb8SIan Rogers        "MetricName": "tma_info_thread_uptb",
14468c61edb8SIan Rogers        "MetricThreshold": "tma_info_thread_uptb < 6"
14476635df2fSIan Rogers    },
14486635df2fSIan Rogers    {
14496635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Instruction TLB (ITLB) misses",
1450c72a2043SIan Rogers        "MetricExpr": "ICACHE_TAG.STALLS / tma_info_thread_clks",
1451*4cc49942SIan Rogers        "MetricGroup": "BigFootprint;BvBC;FetchLat;MemoryTLB;TopdownL3;tma_L3_group;tma_fetch_latency_group",
14526635df2fSIan Rogers        "MetricName": "tma_itlb_misses",
14536635df2fSIan Rogers        "MetricThreshold": "tma_itlb_misses > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
14546635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Instruction TLB (ITLB) misses. Sample with: FRONTEND_RETIRED.STLB_MISS_PS;FRONTEND_RETIRED.ITLB_MISS_PS",
14556635df2fSIan Rogers        "ScaleUnit": "100%"
14566635df2fSIan Rogers    },
14576635df2fSIan Rogers    {
14586635df2fSIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled without loads missing the L1 data cache",
14598c61edb8SIan Rogers        "MetricExpr": "max((CYCLE_ACTIVITY.STALLS_MEM_ANY - CYCLE_ACTIVITY.STALLS_L1D_MISS) / tma_info_thread_clks, 0)",
1460c72a2043SIan Rogers        "MetricGroup": "CacheHits;MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_issueL1;tma_issueMC;tma_memory_bound_group",
14616635df2fSIan Rogers        "MetricName": "tma_l1_bound",
14626635df2fSIan Rogers        "MetricThreshold": "tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
14636635df2fSIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled without loads missing the L1 data cache.  The L1 data cache typically has the shortest latency.  However; in certain cases like loads blocked on older stores; a load might suffer due to high latency even though it is being satisfied by the L1. Another example is loads who miss in the TLB. These cases are characterized by execution unit stalls; while some non-completed demand load lives in the machine without having that demand load missing the L1 cache. Sample with: MEM_LOAD_RETIRED.L1_HIT_PS;MEM_LOAD_RETIRED.FB_HIT_PS. Related metrics: tma_clears_resteers, tma_machine_clears, tma_microcode_sequencer, tma_ms_switches, tma_ports_utilized_1",
14646635df2fSIan Rogers        "ScaleUnit": "100%"
14656635df2fSIan Rogers    },
14666635df2fSIan Rogers    {
1467*4cc49942SIan Rogers        "BriefDescription": "This metric roughly estimates fraction of cycles with demand load accesses that hit the L1 cache",
1468*4cc49942SIan Rogers        "MetricExpr": "min(2 * (MEM_INST_RETIRED.ALL_LOADS - MEM_LOAD_RETIRED.FB_HIT - MEM_LOAD_RETIRED.L1_MISS) * 20 / 100, max(CYCLE_ACTIVITY.CYCLES_MEM_ANY - CYCLE_ACTIVITY.CYCLES_L1D_MISS, 0)) / tma_info_thread_clks",
1469*4cc49942SIan Rogers        "MetricGroup": "BvML;MemoryLat;TopdownL4;tma_L4_group;tma_l1_bound_group",
1470*4cc49942SIan Rogers        "MetricName": "tma_l1_hit_latency",
1471*4cc49942SIan Rogers        "MetricThreshold": "tma_l1_hit_latency > 0.1 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1472*4cc49942SIan Rogers        "PublicDescription": "This metric roughly estimates fraction of cycles with demand load accesses that hit the L1 cache. The short latency of the L1 data cache may be exposed in pointer-chasing memory access patterns as an example. Sample with: MEM_LOAD_RETIRED.L1_HIT",
1473*4cc49942SIan Rogers        "ScaleUnit": "100%"
1474*4cc49942SIan Rogers    },
1475*4cc49942SIan Rogers    {
14766635df2fSIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled due to L2 cache accesses by loads",
14776635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
14788c61edb8SIan Rogers        "MetricExpr": "MEM_LOAD_RETIRED.L2_HIT * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS) / (MEM_LOAD_RETIRED.L2_HIT * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS) + cpu@L1D_PEND_MISS.FB_FULL\\,cmask\\=1@) * ((CYCLE_ACTIVITY.STALLS_L1D_MISS - CYCLE_ACTIVITY.STALLS_L2_MISS) / tma_info_thread_clks)",
1479*4cc49942SIan Rogers        "MetricGroup": "BvML;CacheHits;MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_memory_bound_group",
14806635df2fSIan Rogers        "MetricName": "tma_l2_bound",
14816635df2fSIan Rogers        "MetricThreshold": "tma_l2_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
14826635df2fSIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled due to L2 cache accesses by loads.  Avoiding cache misses (i.e. L1 misses/L2 hits) can improve the latency and increase performance. Sample with: MEM_LOAD_RETIRED.L2_HIT_PS",
14836635df2fSIan Rogers        "ScaleUnit": "100%"
14846635df2fSIan Rogers    },
14856635df2fSIan Rogers    {
14866635df2fSIan Rogers        "BriefDescription": "This metric estimates how often the CPU was stalled due to loads accesses to L3 cache or contended with a sibling Core",
14878c61edb8SIan Rogers        "MetricExpr": "(CYCLE_ACTIVITY.STALLS_L2_MISS - CYCLE_ACTIVITY.STALLS_L3_MISS) / tma_info_thread_clks",
1488c72a2043SIan Rogers        "MetricGroup": "CacheHits;MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_memory_bound_group",
14896635df2fSIan Rogers        "MetricName": "tma_l3_bound",
14906635df2fSIan Rogers        "MetricThreshold": "tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
14916635df2fSIan Rogers        "PublicDescription": "This metric estimates how often the CPU was stalled due to loads accesses to L3 cache or contended with a sibling Core.  Avoiding cache misses (i.e. L2 misses/L3 hits) can improve the latency and increase performance. Sample with: MEM_LOAD_RETIRED.L3_HIT_PS",
14926635df2fSIan Rogers        "ScaleUnit": "100%"
14936635df2fSIan Rogers    },
14946635df2fSIan Rogers    {
1495c72a2043SIan Rogers        "BriefDescription": "This metric estimates fraction of cycles with demand load accesses that hit the L3 cache under unloaded scenarios (possibly L3 latency limited)",
1496c72a2043SIan Rogers        "MetricExpr": "17 * tma_info_system_core_frequency * (MEM_LOAD_RETIRED.L3_HIT * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS / 2)) / tma_info_thread_clks",
1497*4cc49942SIan Rogers        "MetricGroup": "BvML;MemoryLat;TopdownL4;tma_L4_group;tma_issueLat;tma_l3_bound_group",
14986635df2fSIan Rogers        "MetricName": "tma_l3_hit_latency",
14996635df2fSIan Rogers        "MetricThreshold": "tma_l3_hit_latency > 0.1 & (tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1500c72a2043SIan Rogers        "PublicDescription": "This metric estimates fraction of cycles with demand load accesses that hit the L3 cache under unloaded scenarios (possibly L3 latency limited).  Avoiding private cache misses (i.e. L2 misses/L3 hits) will improve the latency; reduce contention with sibling physical cores and increase performance.  Note the value of this node may overlap with its siblings. Sample with: MEM_LOAD_RETIRED.L3_HIT_PS. Related metrics: tma_info_bottleneck_cache_memory_latency, tma_mem_latency",
15016635df2fSIan Rogers        "ScaleUnit": "100%"
15026635df2fSIan Rogers    },
15036635df2fSIan Rogers    {
15046635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU was stalled due to Length Changing Prefixes (LCPs)",
1505c72a2043SIan Rogers        "MetricExpr": "DECODE.LCP / tma_info_thread_clks",
15066635df2fSIan Rogers        "MetricGroup": "FetchLat;TopdownL3;tma_L3_group;tma_fetch_latency_group;tma_issueFB",
15076635df2fSIan Rogers        "MetricName": "tma_lcp",
15086635df2fSIan Rogers        "MetricThreshold": "tma_lcp > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
1509*4cc49942SIan Rogers        "PublicDescription": "This metric represents fraction of cycles CPU was stalled due to Length Changing Prefixes (LCPs). Using proper compiler flags or Intel Compiler by default will certainly avoid this. #Link: Optimization Guide about LCP BKMs. Related metrics: tma_dsb_switches, tma_fetch_bandwidth, tma_info_botlnk_l2_dsb_bandwidth, tma_info_botlnk_l2_dsb_misses, tma_info_frontend_dsb_coverage, tma_info_inst_mix_iptb",
15106635df2fSIan Rogers        "ScaleUnit": "100%"
15116635df2fSIan Rogers    },
15126635df2fSIan Rogers    {
15136635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring light-weight operations -- instructions that require no more than one uop (micro-operation)",
15146635df2fSIan Rogers        "MetricExpr": "tma_retiring - tma_heavy_operations",
15156635df2fSIan Rogers        "MetricGroup": "Retire;TmaL2;TopdownL2;tma_L2_group;tma_retiring_group",
15166635df2fSIan Rogers        "MetricName": "tma_light_operations",
15176635df2fSIan Rogers        "MetricThreshold": "tma_light_operations > 0.6",
1518ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
1519c72a2043SIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring light-weight operations -- instructions that require no more than one uop (micro-operation). This correlates with total number of instructions used by the program. A uops-per-instruction (see UopPI metric) ratio of 1 or less should be expected for decently optimized code running on Intel Core/Xeon products. While this often indicates efficient X86 instructions were executed; high value does not necessarily mean better performance cannot be achieved. ([ICL+] Note this may undercount due to approximation using indirect events; [ADL+] .). Sample with: INST_RETIRED.PREC_DIST",
15206635df2fSIan Rogers        "ScaleUnit": "100%"
15216635df2fSIan Rogers    },
15226635df2fSIan Rogers    {
15236635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port for Load operations",
15248c61edb8SIan Rogers        "MetricExpr": "(UOPS_DISPATCHED_PORT.PORT_2 + UOPS_DISPATCHED_PORT.PORT_3 + UOPS_DISPATCHED_PORT.PORT_7 - UOPS_DISPATCHED_PORT.PORT_4) / (2 * tma_info_core_core_clks)",
15256635df2fSIan Rogers        "MetricGroup": "TopdownL5;tma_L5_group;tma_ports_utilized_3m_group",
15266635df2fSIan Rogers        "MetricName": "tma_load_op_utilization",
15276635df2fSIan Rogers        "MetricThreshold": "tma_load_op_utilization > 0.6",
15286635df2fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port for Load operations. Sample with: UOPS_DISPATCHED.PORT_2_3",
15296635df2fSIan Rogers        "ScaleUnit": "100%"
15306635df2fSIan Rogers    },
15316635df2fSIan Rogers    {
15326635df2fSIan Rogers        "BriefDescription": "This metric roughly estimates the fraction of cycles where the (first level) DTLB was missed by load accesses, that later on hit in second-level TLB (STLB)",
15336635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
15346635df2fSIan Rogers        "MetricExpr": "tma_dtlb_load - tma_load_stlb_miss",
15356635df2fSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL5;tma_L5_group;tma_dtlb_load_group",
15366635df2fSIan Rogers        "MetricName": "tma_load_stlb_hit",
15376635df2fSIan Rogers        "MetricThreshold": "tma_load_stlb_hit > 0.05 & (tma_dtlb_load > 0.1 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
15386635df2fSIan Rogers        "ScaleUnit": "100%"
15396635df2fSIan Rogers    },
15406635df2fSIan Rogers    {
15416635df2fSIan Rogers        "BriefDescription": "This metric estimates the fraction of cycles where the Second-level TLB (STLB) was missed by load accesses, performing a hardware page walk",
15428c61edb8SIan Rogers        "MetricExpr": "DTLB_LOAD_MISSES.WALK_ACTIVE / tma_info_thread_clks",
15436635df2fSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL5;tma_L5_group;tma_dtlb_load_group",
15446635df2fSIan Rogers        "MetricName": "tma_load_stlb_miss",
15456635df2fSIan Rogers        "MetricThreshold": "tma_load_stlb_miss > 0.05 & (tma_dtlb_load > 0.1 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
15466635df2fSIan Rogers        "ScaleUnit": "100%"
15476635df2fSIan Rogers    },
15486635df2fSIan Rogers    {
15496635df2fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles while the memory subsystem was handling loads from local memory",
1550c72a2043SIan Rogers        "MetricExpr": "59.5 * tma_info_system_core_frequency * MEM_LOAD_L3_MISS_RETIRED.LOCAL_DRAM * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS / 2) / tma_info_thread_clks",
15516635df2fSIan Rogers        "MetricGroup": "Server;TopdownL5;tma_L5_group;tma_mem_latency_group",
1552c72a2043SIan Rogers        "MetricName": "tma_local_mem",
1553c72a2043SIan Rogers        "MetricThreshold": "tma_local_mem > 0.1 & (tma_mem_latency > 0.1 & (tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
1554*4cc49942SIan Rogers        "PublicDescription": "This metric estimates fraction of cycles while the memory subsystem was handling loads from local memory. Caching will improve the latency and increase performance. Sample with: MEM_LOAD_L3_MISS_RETIRED.LOCAL_DRAM",
15556635df2fSIan Rogers        "ScaleUnit": "100%"
15566635df2fSIan Rogers    },
15576635df2fSIan Rogers    {
15586635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU spent handling cache misses due to lock operations",
15598c61edb8SIan Rogers        "MetricExpr": "(12 * max(0, MEM_INST_RETIRED.LOCK_LOADS - L2_RQSTS.ALL_RFO) + MEM_INST_RETIRED.LOCK_LOADS / MEM_INST_RETIRED.ALL_STORES * (11 * L2_RQSTS.RFO_HIT + min(CPU_CLK_UNHALTED.THREAD, OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DEMAND_RFO))) / tma_info_thread_clks",
15606635df2fSIan Rogers        "MetricGroup": "Offcore;TopdownL4;tma_L4_group;tma_issueRFO;tma_l1_bound_group",
15616635df2fSIan Rogers        "MetricName": "tma_lock_latency",
15626635df2fSIan Rogers        "MetricThreshold": "tma_lock_latency > 0.2 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1563*4cc49942SIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU spent handling cache misses due to lock operations. Due to the microarchitecture handling of locks; they are classified as L1_Bound regardless of what memory source satisfied them. Sample with: MEM_INST_RETIRED.LOCK_LOADS. Related metrics: tma_store_latency",
15646635df2fSIan Rogers        "ScaleUnit": "100%"
15656635df2fSIan Rogers    },
15666635df2fSIan Rogers    {
15676635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU has wasted due to Machine Clears",
15686635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
15696635df2fSIan Rogers        "MetricExpr": "tma_bad_speculation - tma_branch_mispredicts",
1570*4cc49942SIan Rogers        "MetricGroup": "BadSpec;BvMS;MachineClears;TmaL2;TopdownL2;tma_L2_group;tma_bad_speculation_group;tma_issueMC;tma_issueSyncxn",
15716635df2fSIan Rogers        "MetricName": "tma_machine_clears",
15726635df2fSIan Rogers        "MetricThreshold": "tma_machine_clears > 0.1 & tma_bad_speculation > 0.15",
1573ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
15746635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU has wasted due to Machine Clears.  These slots are either wasted by uops fetched prior to the clear; or stalls the out-of-order portion of the machine needs to recover its state after the clear. For example; this can happen due to memory ordering Nukes (e.g. Memory Disambiguation) or Self-Modifying-Code (SMC) nukes. Sample with: MACHINE_CLEARS.COUNT. Related metrics: tma_clears_resteers, tma_contested_accesses, tma_data_sharing, tma_false_sharing, tma_l1_bound, tma_microcode_sequencer, tma_ms_switches, tma_remote_cache",
15756635df2fSIan Rogers        "ScaleUnit": "100%"
15766635df2fSIan Rogers    },
15776635df2fSIan Rogers    {
1578c72a2043SIan Rogers        "BriefDescription": "This metric estimates fraction of cycles where the core's performance was likely hurt due to approaching bandwidth limits of external memory - DRAM ([SPR-HBM] and/or HBM)",
15798c61edb8SIan Rogers        "MetricExpr": "min(CPU_CLK_UNHALTED.THREAD, cpu@OFFCORE_REQUESTS_OUTSTANDING.ALL_DATA_RD\\,cmask\\=4@) / tma_info_thread_clks",
1580*4cc49942SIan Rogers        "MetricGroup": "BvMS;MemoryBW;Offcore;TopdownL4;tma_L4_group;tma_dram_bound_group;tma_issueBW",
15816635df2fSIan Rogers        "MetricName": "tma_mem_bandwidth",
15826635df2fSIan Rogers        "MetricThreshold": "tma_mem_bandwidth > 0.2 & (tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1583c72a2043SIan Rogers        "PublicDescription": "This metric estimates fraction of cycles where the core's performance was likely hurt due to approaching bandwidth limits of external memory - DRAM ([SPR-HBM] and/or HBM).  The underlying heuristic assumes that a similar off-core traffic is generated by all IA cores. This metric does not aggregate non-data-read requests by this logical processor; requests from other IA Logical Processors/Physical Cores/sockets; or other non-IA devices like GPU; hence the maximum external memory bandwidth limits may or may not be approached when this metric is flagged (see Uncore counters for that). Related metrics: tma_fb_full, tma_info_bottleneck_cache_memory_bandwidth, tma_info_system_dram_bw_use, tma_sq_full",
15846635df2fSIan Rogers        "ScaleUnit": "100%"
15856635df2fSIan Rogers    },
15866635df2fSIan Rogers    {
1587c72a2043SIan Rogers        "BriefDescription": "This metric estimates fraction of cycles where the performance was likely hurt due to latency from external memory - DRAM ([SPR-HBM] and/or HBM)",
15888c61edb8SIan Rogers        "MetricExpr": "min(CPU_CLK_UNHALTED.THREAD, OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DATA_RD) / tma_info_thread_clks - tma_mem_bandwidth",
1589*4cc49942SIan Rogers        "MetricGroup": "BvML;MemoryLat;Offcore;TopdownL4;tma_L4_group;tma_dram_bound_group;tma_issueLat",
15906635df2fSIan Rogers        "MetricName": "tma_mem_latency",
15916635df2fSIan Rogers        "MetricThreshold": "tma_mem_latency > 0.1 & (tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1592c72a2043SIan Rogers        "PublicDescription": "This metric estimates fraction of cycles where the performance was likely hurt due to latency from external memory - DRAM ([SPR-HBM] and/or HBM).  This metric does not aggregate requests from other Logical Processors/Physical Cores/sockets (see Uncore counters for that). Related metrics: tma_info_bottleneck_cache_memory_latency, tma_l3_hit_latency",
15936635df2fSIan Rogers        "ScaleUnit": "100%"
15946635df2fSIan Rogers    },
15956635df2fSIan Rogers    {
15966635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the Memory subsystem within the Backend was a bottleneck",
15976635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
15986635df2fSIan Rogers        "MetricExpr": "(CYCLE_ACTIVITY.STALLS_MEM_ANY + EXE_ACTIVITY.BOUND_ON_STORES) / (CYCLE_ACTIVITY.STALLS_TOTAL + (EXE_ACTIVITY.1_PORTS_UTIL + tma_retiring * EXE_ACTIVITY.2_PORTS_UTIL) + EXE_ACTIVITY.BOUND_ON_STORES) * tma_backend_bound",
15996635df2fSIan Rogers        "MetricGroup": "Backend;TmaL2;TopdownL2;tma_L2_group;tma_backend_bound_group",
16006635df2fSIan Rogers        "MetricName": "tma_memory_bound",
16016635df2fSIan Rogers        "MetricThreshold": "tma_memory_bound > 0.2 & tma_backend_bound > 0.2",
1602ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL2",
16036635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of slots the Memory subsystem within the Backend was a bottleneck.  Memory Bound estimates fraction of slots where pipeline is likely stalled due to demand load or store instructions. This accounts mainly for (1) non-completed in-flight memory demand loads which coincides with execution units starvation; in addition to (2) cases where stores could impose backpressure on the pipeline when many of them get buffered at the same time (less common out of the two).",
16046635df2fSIan Rogers        "ScaleUnit": "100%"
16056635df2fSIan Rogers    },
16066635df2fSIan Rogers    {
16076635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring memory operations -- uops for memory load or store accesses.",
16086635df2fSIan Rogers        "MetricExpr": "tma_light_operations * MEM_INST_RETIRED.ANY / INST_RETIRED.ANY",
16096635df2fSIan Rogers        "MetricGroup": "Pipeline;TopdownL3;tma_L3_group;tma_light_operations_group",
16106635df2fSIan Rogers        "MetricName": "tma_memory_operations",
16116635df2fSIan Rogers        "MetricThreshold": "tma_memory_operations > 0.1 & tma_light_operations > 0.6",
16126635df2fSIan Rogers        "ScaleUnit": "100%"
16136635df2fSIan Rogers    },
16146635df2fSIan Rogers    {
16156635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU was retiring uops fetched by the Microcode Sequencer (MS) unit",
1616*4cc49942SIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
16178c61edb8SIan Rogers        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS / UOPS_ISSUED.ANY * IDQ.MS_UOPS / tma_info_thread_slots",
16186635df2fSIan Rogers        "MetricGroup": "MicroSeq;TopdownL3;tma_L3_group;tma_heavy_operations_group;tma_issueMC;tma_issueMS",
16196635df2fSIan Rogers        "MetricName": "tma_microcode_sequencer",
16206635df2fSIan Rogers        "MetricThreshold": "tma_microcode_sequencer > 0.05 & tma_heavy_operations > 0.1",
1621c72a2043SIan Rogers        "PublicDescription": "This metric represents fraction of slots the CPU was retiring uops fetched by the Microcode Sequencer (MS) unit.  The MS is used for CISC instructions not supported by the default decoders (like repeat move strings; or CPUID); or by microcode assists used to address some operation modes (like in Floating Point assists). These cases can often be avoided. Sample with: IDQ.MS_UOPS. Related metrics: tma_clears_resteers, tma_info_bottleneck_irregular_overhead, tma_l1_bound, tma_machine_clears, tma_ms_switches",
16226635df2fSIan Rogers        "ScaleUnit": "100%"
16236635df2fSIan Rogers    },
16246635df2fSIan Rogers    {
16256635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers as a result of Branch Misprediction at execution stage",
16268c61edb8SIan Rogers        "MetricExpr": "BR_MISP_RETIRED.ALL_BRANCHES / (BR_MISP_RETIRED.ALL_BRANCHES + MACHINE_CLEARS.COUNT) * INT_MISC.CLEAR_RESTEER_CYCLES / tma_info_thread_clks",
1627*4cc49942SIan Rogers        "MetricGroup": "BadSpec;BrMispredicts;BvMP;TopdownL4;tma_L4_group;tma_branch_resteers_group;tma_issueBM",
16286635df2fSIan Rogers        "MetricName": "tma_mispredicts_resteers",
16296635df2fSIan Rogers        "MetricThreshold": "tma_mispredicts_resteers > 0.05 & (tma_branch_resteers > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15))",
16308c61edb8SIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to Branch Resteers as a result of Branch Misprediction at execution stage. Sample with: INT_MISC.CLEAR_RESTEER_CYCLES. Related metrics: tma_branch_mispredicts, tma_info_bad_spec_branch_misprediction_cost, tma_info_bottleneck_mispredictions",
16316635df2fSIan Rogers        "ScaleUnit": "100%"
16326635df2fSIan Rogers    },
16336635df2fSIan Rogers    {
16346635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to the MITE pipeline (the legacy decode pipeline)",
16358c61edb8SIan Rogers        "MetricExpr": "(IDQ.ALL_MITE_CYCLES_ANY_UOPS - IDQ.ALL_MITE_CYCLES_4_UOPS) / tma_info_core_core_clks / 2",
16366635df2fSIan Rogers        "MetricGroup": "DSBmiss;FetchBW;TopdownL3;tma_L3_group;tma_fetch_bandwidth_group",
16376635df2fSIan Rogers        "MetricName": "tma_mite",
1638c72a2043SIan Rogers        "MetricThreshold": "tma_mite > 0.1 & tma_fetch_bandwidth > 0.2",
16396635df2fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles in which CPU was likely limited due to the MITE pipeline (the legacy decode pipeline). This pipeline is used for code that was not pre-cached in the DSB or LSD. For example; inefficiencies due to asymmetric decoders; use of long immediate or LCP can manifest as MITE fetch bandwidth bottleneck. Sample with: FRONTEND_RETIRED.ANY_DSB_MISS",
16406635df2fSIan Rogers        "ScaleUnit": "100%"
16416635df2fSIan Rogers    },
16426635df2fSIan Rogers    {
1643c72a2043SIan Rogers        "BriefDescription": "This metric estimates penalty in terms of percentage of([SKL+] injected blend uops out of all Uops Issued -- the Count Domain; [ADL+] cycles)",
16446635df2fSIan Rogers        "MetricExpr": "UOPS_ISSUED.VECTOR_WIDTH_MISMATCH / UOPS_ISSUED.ANY",
16456635df2fSIan Rogers        "MetricGroup": "TopdownL5;tma_L5_group;tma_issueMV;tma_ports_utilized_0_group",
16466635df2fSIan Rogers        "MetricName": "tma_mixing_vectors",
16476635df2fSIan Rogers        "MetricThreshold": "tma_mixing_vectors > 0.05",
1648c72a2043SIan Rogers        "PublicDescription": "This metric estimates penalty in terms of percentage of([SKL+] injected blend uops out of all Uops Issued -- the Count Domain; [ADL+] cycles). Usually a Mixing_Vectors over 5% is worth investigating. Read more in Appendix B1 of the Optimizations Guide for this topic. Related metrics: tma_ms_switches",
16496635df2fSIan Rogers        "ScaleUnit": "100%"
16506635df2fSIan Rogers    },
16516635df2fSIan Rogers    {
16526635df2fSIan Rogers        "BriefDescription": "This metric estimates the fraction of cycles when the CPU was stalled due to switches of uop delivery to the Microcode Sequencer (MS)",
16538c61edb8SIan Rogers        "MetricExpr": "2 * IDQ.MS_SWITCHES / tma_info_thread_clks",
16546635df2fSIan Rogers        "MetricGroup": "FetchLat;MicroSeq;TopdownL3;tma_L3_group;tma_fetch_latency_group;tma_issueMC;tma_issueMS;tma_issueMV;tma_issueSO",
16556635df2fSIan Rogers        "MetricName": "tma_ms_switches",
16566635df2fSIan Rogers        "MetricThreshold": "tma_ms_switches > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15)",
1657c72a2043SIan Rogers        "PublicDescription": "This metric estimates the fraction of cycles when the CPU was stalled due to switches of uop delivery to the Microcode Sequencer (MS). Commonly used instructions are optimized for delivery by the DSB (decoded i-cache) or MITE (legacy instruction decode) pipelines. Certain operations cannot be handled natively by the execution pipeline; and must be performed by microcode (small programs injected into the execution stream). Switching to the MS too often can negatively impact performance. The MS is designated to deliver long uop flows required by CISC instructions like CPUID; or uncommon conditions like Floating Point Assists when dealing with Denormals. Sample with: IDQ.MS_SWITCHES. Related metrics: tma_clears_resteers, tma_info_bottleneck_irregular_overhead, tma_l1_bound, tma_machine_clears, tma_microcode_sequencer, tma_mixing_vectors, tma_serializing_operation",
16586635df2fSIan Rogers        "ScaleUnit": "100%"
16596635df2fSIan Rogers    },
16606635df2fSIan Rogers    {
16616635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring branch instructions that were not fused",
16626635df2fSIan Rogers        "MetricExpr": "tma_light_operations * (BR_INST_RETIRED.ALL_BRANCHES - UOPS_RETIRED.MACRO_FUSED) / UOPS_RETIRED.RETIRE_SLOTS",
1663*4cc49942SIan Rogers        "MetricGroup": "Branches;BvBO;Pipeline;TopdownL3;tma_L3_group;tma_light_operations_group",
16646635df2fSIan Rogers        "MetricName": "tma_non_fused_branches",
16656635df2fSIan Rogers        "MetricThreshold": "tma_non_fused_branches > 0.1 & tma_light_operations > 0.6",
16666635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring branch instructions that were not fused. Non-conditional branches like direct JMP or CALL would count here. Can be used to examine fusible conditional jumps that were not fused.",
16676635df2fSIan Rogers        "ScaleUnit": "100%"
16686635df2fSIan Rogers    },
16696635df2fSIan Rogers    {
16706635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of slots where the CPU was retiring NOP (no op) instructions",
16716635df2fSIan Rogers        "MetricExpr": "tma_light_operations * INST_RETIRED.NOP / UOPS_RETIRED.RETIRE_SLOTS",
1672*4cc49942SIan Rogers        "MetricGroup": "BvBO;Pipeline;TopdownL4;tma_L4_group;tma_other_light_ops_group",
16736635df2fSIan Rogers        "MetricName": "tma_nop_instructions",
1674c72a2043SIan Rogers        "MetricThreshold": "tma_nop_instructions > 0.1 & (tma_other_light_ops > 0.3 & tma_light_operations > 0.6)",
16756635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of slots where the CPU was retiring NOP (no op) instructions. Compilers often use NOPs for certain address alignments - e.g. start address of a function or loop body. Sample with: INST_RETIRED.NOP",
16766635df2fSIan Rogers        "ScaleUnit": "100%"
16776635df2fSIan Rogers    },
16786635df2fSIan Rogers    {
16796635df2fSIan Rogers        "BriefDescription": "This metric represents the remaining light uops fraction the CPU has executed - remaining means not covered by other sibling nodes",
1680c72a2043SIan Rogers        "MetricExpr": "max(0, tma_light_operations - (tma_fp_arith + tma_memory_operations + tma_fused_instructions + tma_non_fused_branches))",
16816635df2fSIan Rogers        "MetricGroup": "Pipeline;TopdownL3;tma_L3_group;tma_light_operations_group",
16826635df2fSIan Rogers        "MetricName": "tma_other_light_ops",
16836635df2fSIan Rogers        "MetricThreshold": "tma_other_light_ops > 0.3 & tma_light_operations > 0.6",
16846635df2fSIan Rogers        "PublicDescription": "This metric represents the remaining light uops fraction the CPU has executed - remaining means not covered by other sibling nodes. May undercount due to FMA double counting",
16856635df2fSIan Rogers        "ScaleUnit": "100%"
16866635df2fSIan Rogers    },
16876635df2fSIan Rogers    {
1688c72a2043SIan Rogers        "BriefDescription": "This metric estimates fraction of slots the CPU was stalled due to other cases of misprediction (non-retired x86 branches or other types).",
1689c72a2043SIan Rogers        "MetricExpr": "max(tma_branch_mispredicts * (1 - BR_MISP_RETIRED.ALL_BRANCHES / (INT_MISC.CLEARS_COUNT - MACHINE_CLEARS.COUNT)), 0.0001)",
1690*4cc49942SIan Rogers        "MetricGroup": "BrMispredicts;BvIO;TopdownL3;tma_L3_group;tma_branch_mispredicts_group",
1691c72a2043SIan Rogers        "MetricName": "tma_other_mispredicts",
1692c72a2043SIan Rogers        "MetricThreshold": "tma_other_mispredicts > 0.05 & (tma_branch_mispredicts > 0.1 & tma_bad_speculation > 0.15)",
1693c72a2043SIan Rogers        "ScaleUnit": "100%"
1694c72a2043SIan Rogers    },
1695c72a2043SIan Rogers    {
1696c72a2043SIan Rogers        "BriefDescription": "This metric represents fraction of slots the CPU has wasted due to Nukes (Machine Clears) not related to memory ordering.",
1697c72a2043SIan Rogers        "MetricExpr": "max(tma_machine_clears * (1 - MACHINE_CLEARS.MEMORY_ORDERING / MACHINE_CLEARS.COUNT), 0.0001)",
1698*4cc49942SIan Rogers        "MetricGroup": "BvIO;Machine_Clears;TopdownL3;tma_L3_group;tma_machine_clears_group",
1699c72a2043SIan Rogers        "MetricName": "tma_other_nukes",
1700c72a2043SIan Rogers        "MetricThreshold": "tma_other_nukes > 0.05 & (tma_machine_clears > 0.1 & tma_bad_speculation > 0.15)",
1701c72a2043SIan Rogers        "ScaleUnit": "100%"
1702c72a2043SIan Rogers    },
1703c72a2043SIan Rogers    {
17046635df2fSIan Rogers        "BriefDescription": "This metric roughly estimates (based on idle latencies) how often the CPU was stalled on accesses to external 3D-Xpoint (Crystal Ridge, a.k.a",
17056635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS",
1706c72a2043SIan Rogers        "MetricExpr": "(((1 - (19 * (MEM_LOAD_L3_MISS_RETIRED.REMOTE_DRAM * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS)) + 10 * (MEM_LOAD_L3_MISS_RETIRED.LOCAL_DRAM * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS) + MEM_LOAD_L3_MISS_RETIRED.REMOTE_FWD * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS) + MEM_LOAD_L3_MISS_RETIRED.REMOTE_HITM * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS))) / (19 * (MEM_LOAD_L3_MISS_RETIRED.REMOTE_DRAM * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS)) + 10 * (MEM_LOAD_L3_MISS_RETIRED.LOCAL_DRAM * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS) + MEM_LOAD_L3_MISS_RETIRED.REMOTE_FWD * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS) + MEM_LOAD_L3_MISS_RETIRED.REMOTE_HITM * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS)) + (25 * (MEM_LOAD_RETIRED.LOCAL_PMM * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS)) + 33 * (MEM_LOAD_L3_MISS_RETIRED.REMOTE_PMM * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS))))) * (CYCLE_ACTIVITY.STALLS_L3_MISS / tma_info_thread_clks + (CYCLE_ACTIVITY.STALLS_L1D_MISS - CYCLE_ACTIVITY.STALLS_L2_MISS) / tma_info_thread_clks - tma_l2_bound) if 1e6 * (MEM_LOAD_L3_MISS_RETIRED.REMOTE_PMM + MEM_LOAD_RETIRED.LOCAL_PMM) > MEM_LOAD_RETIRED.L1_MISS else 0) if #has_pmem > 0 else 0)",
17076635df2fSIan Rogers        "MetricGroup": "MemoryBound;Server;TmaL3mem;TopdownL3;tma_L3_group;tma_memory_bound_group",
17086635df2fSIan Rogers        "MetricName": "tma_pmm_bound",
17096635df2fSIan Rogers        "MetricThreshold": "tma_pmm_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
17106635df2fSIan Rogers        "PublicDescription": "This metric roughly estimates (based on idle latencies) how often the CPU was stalled on accesses to external 3D-Xpoint (Crystal Ridge, a.k.a. IXP) memory by loads, PMM stands for Persistent Memory Module.",
17116635df2fSIan Rogers        "ScaleUnit": "100%"
17126635df2fSIan Rogers    },
17136635df2fSIan Rogers    {
17146635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 0 ([SNB+] ALU; [HSW+] ALU and 2nd branch)",
17158c61edb8SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_0 / tma_info_core_core_clks",
17166635df2fSIan Rogers        "MetricGroup": "Compute;TopdownL6;tma_L6_group;tma_alu_op_utilization_group;tma_issue2P",
17176635df2fSIan Rogers        "MetricName": "tma_port_0",
17186635df2fSIan Rogers        "MetricThreshold": "tma_port_0 > 0.6",
17196635df2fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 0 ([SNB+] ALU; [HSW+] ALU and 2nd branch). Sample with: UOPS_DISPATCHED_PORT.PORT_0. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_1, tma_port_5, tma_port_6, tma_ports_utilized_2",
17206635df2fSIan Rogers        "ScaleUnit": "100%"
17216635df2fSIan Rogers    },
17226635df2fSIan Rogers    {
17236635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 1 (ALU)",
17248c61edb8SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_1 / tma_info_core_core_clks",
17256635df2fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_alu_op_utilization_group;tma_issue2P",
17266635df2fSIan Rogers        "MetricName": "tma_port_1",
17276635df2fSIan Rogers        "MetricThreshold": "tma_port_1 > 0.6",
17286635df2fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 1 (ALU). Sample with: UOPS_DISPATCHED_PORT.PORT_1. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_5, tma_port_6, tma_ports_utilized_2",
17296635df2fSIan Rogers        "ScaleUnit": "100%"
17306635df2fSIan Rogers    },
17316635df2fSIan Rogers    {
17326635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 2 ([SNB+]Loads and Store-address; [ICL+] Loads)",
17338c61edb8SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_2 / tma_info_core_core_clks",
17346635df2fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_load_op_utilization_group",
17356635df2fSIan Rogers        "MetricName": "tma_port_2",
17366635df2fSIan Rogers        "MetricThreshold": "tma_port_2 > 0.6",
17376635df2fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 2 ([SNB+]Loads and Store-address; [ICL+] Loads). Sample with: UOPS_DISPATCHED_PORT.PORT_2",
17386635df2fSIan Rogers        "ScaleUnit": "100%"
17396635df2fSIan Rogers    },
17406635df2fSIan Rogers    {
17416635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 3 ([SNB+]Loads and Store-address; [ICL+] Loads)",
17428c61edb8SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_3 / tma_info_core_core_clks",
17436635df2fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_load_op_utilization_group",
17446635df2fSIan Rogers        "MetricName": "tma_port_3",
17456635df2fSIan Rogers        "MetricThreshold": "tma_port_3 > 0.6",
17466635df2fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 3 ([SNB+]Loads and Store-address; [ICL+] Loads). Sample with: UOPS_DISPATCHED_PORT.PORT_3",
17476635df2fSIan Rogers        "ScaleUnit": "100%"
17486635df2fSIan Rogers    },
17496635df2fSIan Rogers    {
17506635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 4 (Store-data)",
17516635df2fSIan Rogers        "MetricExpr": "tma_store_op_utilization",
17526635df2fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_issueSpSt;tma_store_op_utilization_group",
17536635df2fSIan Rogers        "MetricName": "tma_port_4",
17546635df2fSIan Rogers        "MetricThreshold": "tma_port_4 > 0.6",
17556635df2fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 4 (Store-data). Sample with: UOPS_DISPATCHED_PORT.PORT_4. Related metrics: tma_split_stores",
17566635df2fSIan Rogers        "ScaleUnit": "100%"
17576635df2fSIan Rogers    },
17586635df2fSIan Rogers    {
17596635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 5 ([SNB+] Branches and ALU; [HSW+] ALU)",
17608c61edb8SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_5 / tma_info_core_core_clks",
17616635df2fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_alu_op_utilization_group;tma_issue2P",
17626635df2fSIan Rogers        "MetricName": "tma_port_5",
17636635df2fSIan Rogers        "MetricThreshold": "tma_port_5 > 0.6",
17646635df2fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 5 ([SNB+] Branches and ALU; [HSW+] ALU). Sample with: UOPS_DISPATCHED.PORT_5. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_6, tma_ports_utilized_2",
17656635df2fSIan Rogers        "ScaleUnit": "100%"
17666635df2fSIan Rogers    },
17676635df2fSIan Rogers    {
17686635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 6 ([HSW+] Primary Branch and simple ALU)",
17698c61edb8SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_6 / tma_info_core_core_clks",
17706635df2fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_alu_op_utilization_group;tma_issue2P",
17716635df2fSIan Rogers        "MetricName": "tma_port_6",
17726635df2fSIan Rogers        "MetricThreshold": "tma_port_6 > 0.6",
17736635df2fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 6 ([HSW+] Primary Branch and simple ALU). Sample with: UOPS_DISPATCHED_PORT.PORT_6. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_ports_utilized_2",
17746635df2fSIan Rogers        "ScaleUnit": "100%"
17756635df2fSIan Rogers    },
17766635df2fSIan Rogers    {
17776635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 7 ([HSW+]simple Store-address)",
17788c61edb8SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_7 / tma_info_core_core_clks",
17796635df2fSIan Rogers        "MetricGroup": "TopdownL6;tma_L6_group;tma_store_op_utilization_group",
17806635df2fSIan Rogers        "MetricName": "tma_port_7",
17816635df2fSIan Rogers        "MetricThreshold": "tma_port_7 > 0.6",
17826635df2fSIan Rogers        "PublicDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port 7 ([HSW+]simple Store-address). Sample with: UOPS_DISPATCHED_PORT.PORT_7",
17836635df2fSIan Rogers        "ScaleUnit": "100%"
17846635df2fSIan Rogers    },
17856635df2fSIan Rogers    {
17866635df2fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles the CPU performance was potentially limited due to Core computation issues (non divider-related)",
1787c72a2043SIan Rogers        "MetricExpr": "((tma_ports_utilized_0 * tma_info_thread_clks + (EXE_ACTIVITY.1_PORTS_UTIL + tma_retiring * EXE_ACTIVITY.2_PORTS_UTIL)) / tma_info_thread_clks if ARITH.DIVIDER_ACTIVE < CYCLE_ACTIVITY.STALLS_TOTAL - CYCLE_ACTIVITY.STALLS_MEM_ANY else (EXE_ACTIVITY.1_PORTS_UTIL + tma_retiring * EXE_ACTIVITY.2_PORTS_UTIL) / tma_info_thread_clks)",
17886635df2fSIan Rogers        "MetricGroup": "PortsUtil;TopdownL3;tma_L3_group;tma_core_bound_group",
17896635df2fSIan Rogers        "MetricName": "tma_ports_utilization",
17906635df2fSIan Rogers        "MetricThreshold": "tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2)",
17916635df2fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles the CPU performance was potentially limited due to Core computation issues (non divider-related).  Two distinct categories can be attributed into this metric: (1) heavy data-dependency among contiguous instructions would manifest in this metric - such cases are often referred to as low Instruction Level Parallelism (ILP). (2) Contention on some hardware execution unit other than Divider. For example; when there are too many multiply operations.",
17926635df2fSIan Rogers        "ScaleUnit": "100%"
17936635df2fSIan Rogers    },
17946635df2fSIan Rogers    {
17956635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU executed no uops on any execution port (Logical Processor cycles since ICL, Physical Core cycles otherwise)",
1796*4cc49942SIan Rogers        "MetricExpr": "EXE_ACTIVITY.EXE_BOUND_0_PORTS / tma_info_thread_clks",
17976635df2fSIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_L4_group;tma_ports_utilization_group",
17986635df2fSIan Rogers        "MetricName": "tma_ports_utilized_0",
17996635df2fSIan Rogers        "MetricThreshold": "tma_ports_utilized_0 > 0.2 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))",
18006635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles CPU executed no uops on any execution port (Logical Processor cycles since ICL, Physical Core cycles otherwise). Long-latency instructions like divides may contribute to this metric.",
18016635df2fSIan Rogers        "ScaleUnit": "100%"
18026635df2fSIan Rogers    },
18036635df2fSIan Rogers    {
18046635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles where the CPU executed total of 1 uop per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise)",
18058c61edb8SIan Rogers        "MetricExpr": "((UOPS_EXECUTED.CORE_CYCLES_GE_1 - UOPS_EXECUTED.CORE_CYCLES_GE_2) / 2 if #SMT_on else EXE_ACTIVITY.1_PORTS_UTIL) / tma_info_core_core_clks",
18066635df2fSIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_L4_group;tma_issueL1;tma_ports_utilization_group",
18076635df2fSIan Rogers        "MetricName": "tma_ports_utilized_1",
18086635df2fSIan Rogers        "MetricThreshold": "tma_ports_utilized_1 > 0.2 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))",
18096635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles where the CPU executed total of 1 uop per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise). This can be due to heavy data-dependency among software instructions; or over oversubscribing a particular hardware resource. In some other cases with high 1_Port_Utilized and L1_Bound; this metric can point to L1 data-cache latency bottleneck that may not necessarily manifest with complete execution starvation (due to the short L1 latency e.g. walking a linked list) - looking at the assembly can be helpful. Related metrics: tma_l1_bound",
18106635df2fSIan Rogers        "ScaleUnit": "100%"
18116635df2fSIan Rogers    },
18126635df2fSIan Rogers    {
18136635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU executed total of 2 uops per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise)",
18148c61edb8SIan Rogers        "MetricExpr": "((UOPS_EXECUTED.CORE_CYCLES_GE_2 - UOPS_EXECUTED.CORE_CYCLES_GE_3) / 2 if #SMT_on else EXE_ACTIVITY.2_PORTS_UTIL) / tma_info_core_core_clks",
18156635df2fSIan Rogers        "MetricGroup": "PortsUtil;TopdownL4;tma_L4_group;tma_issue2P;tma_ports_utilization_group",
18166635df2fSIan Rogers        "MetricName": "tma_ports_utilized_2",
18176635df2fSIan Rogers        "MetricThreshold": "tma_ports_utilized_2 > 0.15 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))",
18186635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles CPU executed total of 2 uops per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise).  Loop Vectorization -most compilers feature auto-Vectorization options today- reduces pressure on the execution ports as multiple elements are calculated with same uop. Related metrics: tma_fp_scalar, tma_fp_vector, tma_fp_vector_128b, tma_fp_vector_256b, tma_fp_vector_512b, tma_port_0, tma_port_1, tma_port_5, tma_port_6",
18196635df2fSIan Rogers        "ScaleUnit": "100%"
18206635df2fSIan Rogers    },
18216635df2fSIan Rogers    {
18226635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles CPU executed total of 3 or more uops per cycle on all execution ports (Logical Processor cycles since ICL, Physical Core cycles otherwise).",
18238c61edb8SIan Rogers        "MetricExpr": "(UOPS_EXECUTED.CORE_CYCLES_GE_3 / 2 if #SMT_on else UOPS_EXECUTED.CORE_CYCLES_GE_3) / tma_info_core_core_clks",
1824*4cc49942SIan Rogers        "MetricGroup": "BvCB;PortsUtil;TopdownL4;tma_L4_group;tma_ports_utilization_group",
18256635df2fSIan Rogers        "MetricName": "tma_ports_utilized_3m",
1826c72a2043SIan Rogers        "MetricThreshold": "tma_ports_utilized_3m > 0.4 & (tma_ports_utilization > 0.15 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))",
18276635df2fSIan Rogers        "ScaleUnit": "100%"
18286635df2fSIan Rogers    },
18296635df2fSIan Rogers    {
18306635df2fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles while the memory subsystem was handling loads from remote cache in other sockets including synchronizations issues",
18316635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
1832c72a2043SIan Rogers        "MetricExpr": "(89.5 * tma_info_system_core_frequency * MEM_LOAD_L3_MISS_RETIRED.REMOTE_HITM + 89.5 * tma_info_system_core_frequency * MEM_LOAD_L3_MISS_RETIRED.REMOTE_FWD) * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS / 2) / tma_info_thread_clks",
18336635df2fSIan Rogers        "MetricGroup": "Offcore;Server;Snoop;TopdownL5;tma_L5_group;tma_issueSyncxn;tma_mem_latency_group",
18346635df2fSIan Rogers        "MetricName": "tma_remote_cache",
18356635df2fSIan Rogers        "MetricThreshold": "tma_remote_cache > 0.05 & (tma_mem_latency > 0.1 & (tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
18366635df2fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles while the memory subsystem was handling loads from remote cache in other sockets including synchronizations issues. This is caused often due to non-optimal NUMA allocations. #link to NUMA article. Sample with: MEM_LOAD_L3_MISS_RETIRED.REMOTE_HITM_PS;MEM_LOAD_L3_MISS_RETIRED.REMOTE_FWD_PS. Related metrics: tma_contested_accesses, tma_data_sharing, tma_false_sharing, tma_machine_clears",
18376635df2fSIan Rogers        "ScaleUnit": "100%"
18386635df2fSIan Rogers    },
18396635df2fSIan Rogers    {
18406635df2fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles while the memory subsystem was handling loads from remote memory",
1841c72a2043SIan Rogers        "MetricExpr": "127 * tma_info_system_core_frequency * MEM_LOAD_L3_MISS_RETIRED.REMOTE_DRAM * (1 + MEM_LOAD_RETIRED.FB_HIT / MEM_LOAD_RETIRED.L1_MISS / 2) / tma_info_thread_clks",
18426635df2fSIan Rogers        "MetricGroup": "Server;Snoop;TopdownL5;tma_L5_group;tma_mem_latency_group",
1843c72a2043SIan Rogers        "MetricName": "tma_remote_mem",
1844c72a2043SIan Rogers        "MetricThreshold": "tma_remote_mem > 0.1 & (tma_mem_latency > 0.1 & (tma_dram_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
18456635df2fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles while the memory subsystem was handling loads from remote memory. This is caused often due to non-optimal NUMA allocations. #link to NUMA article. Sample with: MEM_LOAD_L3_MISS_RETIRED.REMOTE_DRAM_PS",
18466635df2fSIan Rogers        "ScaleUnit": "100%"
18476635df2fSIan Rogers    },
18486635df2fSIan Rogers    {
18496635df2fSIan Rogers        "BriefDescription": "This category represents fraction of slots utilized by useful work i.e. issued uops that eventually get retired",
18508c61edb8SIan Rogers        "MetricExpr": "UOPS_RETIRED.RETIRE_SLOTS / tma_info_thread_slots",
1851*4cc49942SIan Rogers        "MetricGroup": "BvUW;TmaL1;TopdownL1;tma_L1_group",
18526635df2fSIan Rogers        "MetricName": "tma_retiring",
18536635df2fSIan Rogers        "MetricThreshold": "tma_retiring > 0.7 | tma_heavy_operations > 0.1",
1854ccc66c60SIan Rogers        "MetricgroupNoGroup": "TopdownL1",
18556635df2fSIan Rogers        "PublicDescription": "This category represents fraction of slots utilized by useful work i.e. issued uops that eventually get retired. Ideally; all pipeline slots would be attributed to the Retiring category.  Retiring of 100% would indicate the maximum Pipeline_Width throughput was achieved.  Maximizing Retiring typically increases the Instructions-per-cycle (see IPC metric). Note that a high Retiring value does not necessary mean there is no room for more performance.  For example; Heavy-operations or Microcode Assists are categorized under Retiring. They often indicate suboptimal performance and can often be optimized or avoided. Sample with: UOPS_RETIRED.RETIRE_SLOTS",
18566635df2fSIan Rogers        "ScaleUnit": "100%"
18576635df2fSIan Rogers    },
18586635df2fSIan Rogers    {
18596635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU issue-pipeline was stalled due to serializing operations",
18608c61edb8SIan Rogers        "MetricExpr": "PARTIAL_RAT_STALLS.SCOREBOARD / tma_info_thread_clks",
1861*4cc49942SIan Rogers        "MetricGroup": "BvIO;PortsUtil;TopdownL3;tma_L3_group;tma_core_bound_group;tma_issueSO",
18626635df2fSIan Rogers        "MetricName": "tma_serializing_operation",
1863c72a2043SIan Rogers        "MetricThreshold": "tma_serializing_operation > 0.1 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2)",
18646635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU issue-pipeline was stalled due to serializing operations. Instructions like CPUID; WRMSR or LFENCE serialize the out-of-order execution which may limit performance. Sample with: PARTIAL_RAT_STALLS.SCOREBOARD. Related metrics: tma_ms_switches",
18656635df2fSIan Rogers        "ScaleUnit": "100%"
18666635df2fSIan Rogers    },
18676635df2fSIan Rogers    {
18686635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to PAUSE Instructions",
18698c61edb8SIan Rogers        "MetricExpr": "40 * ROB_MISC_EVENTS.PAUSE_INST / tma_info_thread_clks",
1870c72a2043SIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_serializing_operation_group",
18716635df2fSIan Rogers        "MetricName": "tma_slow_pause",
1872c72a2043SIan Rogers        "MetricThreshold": "tma_slow_pause > 0.05 & (tma_serializing_operation > 0.1 & (tma_core_bound > 0.1 & tma_backend_bound > 0.2))",
18736635df2fSIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to PAUSE Instructions. Sample with: MISC_RETIRED.PAUSE_INST",
18746635df2fSIan Rogers        "ScaleUnit": "100%"
18756635df2fSIan Rogers    },
18766635df2fSIan Rogers    {
18776635df2fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles handling memory load split accesses - load that cross 64-byte cache line boundary",
18786635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
18798c61edb8SIan Rogers        "MetricExpr": "tma_info_memory_load_miss_real_latency * LD_BLOCKS.NO_SR / tma_info_thread_clks",
18806635df2fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_l1_bound_group",
18816635df2fSIan Rogers        "MetricName": "tma_split_loads",
18826635df2fSIan Rogers        "MetricThreshold": "tma_split_loads > 0.2 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
18836635df2fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles handling memory load split accesses - load that cross 64-byte cache line boundary. Sample with: MEM_INST_RETIRED.SPLIT_LOADS_PS",
18846635df2fSIan Rogers        "ScaleUnit": "100%"
18856635df2fSIan Rogers    },
18866635df2fSIan Rogers    {
18876635df2fSIan Rogers        "BriefDescription": "This metric represents rate of split store accesses",
18888c61edb8SIan Rogers        "MetricExpr": "MEM_INST_RETIRED.SPLIT_STORES / tma_info_core_core_clks",
18896635df2fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_issueSpSt;tma_store_bound_group",
18906635df2fSIan Rogers        "MetricName": "tma_split_stores",
18916635df2fSIan Rogers        "MetricThreshold": "tma_split_stores > 0.2 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
18926635df2fSIan Rogers        "PublicDescription": "This metric represents rate of split store accesses.  Consider aligning your data to the 64-byte cache line granularity. Sample with: MEM_INST_RETIRED.SPLIT_STORES_PS. Related metrics: tma_port_4",
18936635df2fSIan Rogers        "ScaleUnit": "100%"
18946635df2fSIan Rogers    },
18956635df2fSIan Rogers    {
18966635df2fSIan Rogers        "BriefDescription": "This metric measures fraction of cycles where the Super Queue (SQ) was full taking into account all request-types and both hardware SMT threads (Logical Processors)",
18978c61edb8SIan Rogers        "MetricExpr": "(OFFCORE_REQUESTS_BUFFER.SQ_FULL / 2 if #SMT_on else OFFCORE_REQUESTS_BUFFER.SQ_FULL) / tma_info_core_core_clks",
1898*4cc49942SIan Rogers        "MetricGroup": "BvMS;MemoryBW;Offcore;TopdownL4;tma_L4_group;tma_issueBW;tma_l3_bound_group",
18996635df2fSIan Rogers        "MetricName": "tma_sq_full",
19006635df2fSIan Rogers        "MetricThreshold": "tma_sq_full > 0.3 & (tma_l3_bound > 0.05 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
1901c72a2043SIan Rogers        "PublicDescription": "This metric measures fraction of cycles where the Super Queue (SQ) was full taking into account all request-types and both hardware SMT threads (Logical Processors). Related metrics: tma_fb_full, tma_info_bottleneck_cache_memory_bandwidth, tma_info_system_dram_bw_use, tma_mem_bandwidth",
19026635df2fSIan Rogers        "ScaleUnit": "100%"
19036635df2fSIan Rogers    },
19046635df2fSIan Rogers    {
19056635df2fSIan Rogers        "BriefDescription": "This metric estimates how often CPU was stalled  due to RFO store memory accesses; RFO store issue a read-for-ownership request before the write",
19068c61edb8SIan Rogers        "MetricExpr": "EXE_ACTIVITY.BOUND_ON_STORES / tma_info_thread_clks",
19076635df2fSIan Rogers        "MetricGroup": "MemoryBound;TmaL3mem;TopdownL3;tma_L3_group;tma_memory_bound_group",
19086635df2fSIan Rogers        "MetricName": "tma_store_bound",
19096635df2fSIan Rogers        "MetricThreshold": "tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)",
19106635df2fSIan Rogers        "PublicDescription": "This metric estimates how often CPU was stalled  due to RFO store memory accesses; RFO store issue a read-for-ownership request before the write. Even though store accesses do not typically stall out-of-order CPUs; there are few cases where stores can lead to actual stalls. This metric will be flagged should RFO stores be a bottleneck. Sample with: MEM_INST_RETIRED.ALL_STORES_PS",
19116635df2fSIan Rogers        "ScaleUnit": "100%"
19126635df2fSIan Rogers    },
19136635df2fSIan Rogers    {
19146635df2fSIan Rogers        "BriefDescription": "This metric roughly estimates fraction of cycles when the memory subsystem had loads blocked since they could not forward data from earlier (in program order) overlapping stores",
19158c61edb8SIan Rogers        "MetricExpr": "13 * LD_BLOCKS.STORE_FORWARD / tma_info_thread_clks",
19166635df2fSIan Rogers        "MetricGroup": "TopdownL4;tma_L4_group;tma_l1_bound_group",
19176635df2fSIan Rogers        "MetricName": "tma_store_fwd_blk",
19186635df2fSIan Rogers        "MetricThreshold": "tma_store_fwd_blk > 0.1 & (tma_l1_bound > 0.1 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
19196635df2fSIan Rogers        "PublicDescription": "This metric roughly estimates fraction of cycles when the memory subsystem had loads blocked since they could not forward data from earlier (in program order) overlapping stores. To streamline memory operations in the pipeline; a load can avoid waiting for memory if a prior in-flight store is writing the data that the load wants to read (store forwarding process). However; in some cases the load may be blocked for a significant time pending the store forward. For example; when the prior store is writing a smaller region than the load is reading.",
19206635df2fSIan Rogers        "ScaleUnit": "100%"
19216635df2fSIan Rogers    },
19226635df2fSIan Rogers    {
19236635df2fSIan Rogers        "BriefDescription": "This metric estimates fraction of cycles the CPU spent handling L1D store misses",
19246635df2fSIan Rogers        "MetricConstraint": "NO_GROUP_EVENTS_NMI",
19258c61edb8SIan Rogers        "MetricExpr": "(L2_RQSTS.RFO_HIT * 11 * (1 - MEM_INST_RETIRED.LOCK_LOADS / MEM_INST_RETIRED.ALL_STORES) + (1 - MEM_INST_RETIRED.LOCK_LOADS / MEM_INST_RETIRED.ALL_STORES) * min(CPU_CLK_UNHALTED.THREAD, OFFCORE_REQUESTS_OUTSTANDING.CYCLES_WITH_DEMAND_RFO)) / tma_info_thread_clks",
1926*4cc49942SIan Rogers        "MetricGroup": "BvML;MemoryLat;Offcore;TopdownL4;tma_L4_group;tma_issueRFO;tma_issueSL;tma_store_bound_group",
19276635df2fSIan Rogers        "MetricName": "tma_store_latency",
19286635df2fSIan Rogers        "MetricThreshold": "tma_store_latency > 0.1 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2))",
19296635df2fSIan Rogers        "PublicDescription": "This metric estimates fraction of cycles the CPU spent handling L1D store misses. Store accesses usually less impact out-of-order core performance; however; holding resources for longer time can lead into undesired implications (e.g. contention on L1D fill-buffer entries - see FB_Full). Related metrics: tma_fb_full, tma_lock_latency",
19306635df2fSIan Rogers        "ScaleUnit": "100%"
19316635df2fSIan Rogers    },
19326635df2fSIan Rogers    {
19336635df2fSIan Rogers        "BriefDescription": "This metric represents Core fraction of cycles CPU dispatched uops on execution port for Store operations",
19348c61edb8SIan Rogers        "MetricExpr": "UOPS_DISPATCHED_PORT.PORT_4 / tma_info_core_core_clks",
19356635df2fSIan Rogers        "MetricGroup": "TopdownL5;tma_L5_group;tma_ports_utilized_3m_group",
19366635df2fSIan Rogers        "MetricName": "tma_store_op_utilization",
19376635df2fSIan Rogers        "MetricThreshold": "tma_store_op_utilization > 0.6",
19386635df2fSIan Rogers        "ScaleUnit": "100%"
19396635df2fSIan Rogers    },
19406635df2fSIan Rogers    {
19416635df2fSIan Rogers        "BriefDescription": "This metric roughly estimates the fraction of cycles where the TLB was missed by store accesses, hitting in the second-level TLB (STLB)",
19426635df2fSIan Rogers        "MetricExpr": "tma_dtlb_store - tma_store_stlb_miss",
19436635df2fSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL5;tma_L5_group;tma_dtlb_store_group",
19446635df2fSIan Rogers        "MetricName": "tma_store_stlb_hit",
19456635df2fSIan Rogers        "MetricThreshold": "tma_store_stlb_hit > 0.05 & (tma_dtlb_store > 0.05 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
19466635df2fSIan Rogers        "ScaleUnit": "100%"
19476635df2fSIan Rogers    },
19486635df2fSIan Rogers    {
19496635df2fSIan Rogers        "BriefDescription": "This metric estimates the fraction of cycles where the STLB was missed by store accesses, performing a hardware page walk",
19508c61edb8SIan Rogers        "MetricExpr": "DTLB_STORE_MISSES.WALK_ACTIVE / tma_info_core_core_clks",
19516635df2fSIan Rogers        "MetricGroup": "MemoryTLB;TopdownL5;tma_L5_group;tma_dtlb_store_group",
19526635df2fSIan Rogers        "MetricName": "tma_store_stlb_miss",
19536635df2fSIan Rogers        "MetricThreshold": "tma_store_stlb_miss > 0.05 & (tma_dtlb_store > 0.05 & (tma_store_bound > 0.2 & (tma_memory_bound > 0.2 & tma_backend_bound > 0.2)))",
19546635df2fSIan Rogers        "ScaleUnit": "100%"
19556635df2fSIan Rogers    },
19566635df2fSIan Rogers    {
19576635df2fSIan Rogers        "BriefDescription": "This metric represents fraction of cycles the CPU was stalled due to new branch address clears",
19588c61edb8SIan Rogers        "MetricExpr": "9 * BACLEARS.ANY / tma_info_thread_clks",
1959*4cc49942SIan Rogers        "MetricGroup": "BigFootprint;BvBC;FetchLat;TopdownL4;tma_L4_group;tma_branch_resteers_group",
19606635df2fSIan Rogers        "MetricName": "tma_unknown_branches",
19616635df2fSIan Rogers        "MetricThreshold": "tma_unknown_branches > 0.05 & (tma_branch_resteers > 0.05 & (tma_fetch_latency > 0.1 & tma_frontend_bound > 0.15))",
1962c72a2043SIan Rogers        "PublicDescription": "This metric represents fraction of cycles the CPU was stalled due to new branch address clears. These are fetched branches the Branch Prediction Unit was unable to recognize (e.g. first time the branch is fetched or hitting BTB capacity limit) hence called Unknown Branches. Sample with: BACLEARS.ANY",
19636635df2fSIan Rogers        "ScaleUnit": "100%"
19646635df2fSIan Rogers    },
19656635df2fSIan Rogers    {
19666635df2fSIan Rogers        "BriefDescription": "This metric serves as an approximation of legacy x87 usage",
19676635df2fSIan Rogers        "MetricExpr": "tma_retiring * UOPS_EXECUTED.X87 / UOPS_EXECUTED.THREAD",
19686635df2fSIan Rogers        "MetricGroup": "Compute;TopdownL4;tma_L4_group;tma_fp_arith_group",
19696635df2fSIan Rogers        "MetricName": "tma_x87_use",
19706635df2fSIan Rogers        "MetricThreshold": "tma_x87_use > 0.1 & (tma_fp_arith > 0.2 & tma_light_operations > 0.6)",
19716635df2fSIan Rogers        "PublicDescription": "This metric serves as an approximation of legacy x87 usage. It accounts for instructions beyond X87 FP arithmetic operations; hence may be used as a thermometer to avoid X87 high usage and preferably upgrade to modern ISA. See Tip under Tuning Hint.",
19726635df2fSIan Rogers        "ScaleUnit": "100%"
19736635df2fSIan Rogers    },
19746635df2fSIan Rogers    {
19756635df2fSIan Rogers        "BriefDescription": "Percentage of cycles in aborted transactions.",
19768076dc8cSIan Rogers        "MetricExpr": "(max(cycles\\-t - cycles\\-ct, 0) / cycles if has_event(cycles\\-t) else 0)",
19776635df2fSIan Rogers        "MetricGroup": "transaction",
19786635df2fSIan Rogers        "MetricName": "tsx_aborted_cycles",
19796635df2fSIan Rogers        "ScaleUnit": "100%"
19806635df2fSIan Rogers    },
19816635df2fSIan Rogers    {
19826635df2fSIan Rogers        "BriefDescription": "Number of cycles within a transaction divided by the number of elisions.",
1983c43c64f8SIan Rogers        "MetricExpr": "(cycles\\-t / el\\-start if has_event(el\\-start) else 0)",
19846635df2fSIan Rogers        "MetricGroup": "transaction",
19856635df2fSIan Rogers        "MetricName": "tsx_cycles_per_elision",
19866635df2fSIan Rogers        "ScaleUnit": "1cycles / elision"
19876635df2fSIan Rogers    },
19886635df2fSIan Rogers    {
19896635df2fSIan Rogers        "BriefDescription": "Number of cycles within a transaction divided by the number of transactions.",
19908076dc8cSIan Rogers        "MetricExpr": "(cycles\\-t / tx\\-start if has_event(cycles\\-t) else 0)",
19916635df2fSIan Rogers        "MetricGroup": "transaction",
19926635df2fSIan Rogers        "MetricName": "tsx_cycles_per_transaction",
19936635df2fSIan Rogers        "ScaleUnit": "1cycles / transaction"
19946635df2fSIan Rogers    },
19956635df2fSIan Rogers    {
19966635df2fSIan Rogers        "BriefDescription": "Percentage of cycles within a transaction region.",
19978076dc8cSIan Rogers        "MetricExpr": "(cycles\\-t / cycles if has_event(cycles\\-t) else 0)",
19986635df2fSIan Rogers        "MetricGroup": "transaction",
19996635df2fSIan Rogers        "MetricName": "tsx_transactional_cycles",
20006635df2fSIan Rogers        "ScaleUnit": "100%"
20018c61edb8SIan Rogers    },
20028c61edb8SIan Rogers    {
20038c61edb8SIan Rogers        "BriefDescription": "Uncore operating frequency in GHz",
20048c61edb8SIan Rogers        "MetricExpr": "UNC_CHA_CLOCKTICKS / (source_count(UNC_CHA_CLOCKTICKS) * #num_packages) / 1e9 / duration_time",
20058c61edb8SIan Rogers        "MetricName": "uncore_frequency",
20068c61edb8SIan Rogers        "ScaleUnit": "1GHz"
20078c61edb8SIan Rogers    },
20088c61edb8SIan Rogers    {
200919dd49c9SIan Rogers        "BriefDescription": "Intel(R) Ultra Path Interconnect (UPI) data receive bandwidth (MB/sec)",
201019dd49c9SIan Rogers        "MetricExpr": "UNC_UPI_RxL_FLITS.ALL_DATA * 7.111111111111111 / 1e6 / duration_time",
201119dd49c9SIan Rogers        "MetricName": "upi_data_receive_bw",
201219dd49c9SIan Rogers        "ScaleUnit": "1MB/s"
201319dd49c9SIan Rogers    },
201419dd49c9SIan Rogers    {
20158c61edb8SIan Rogers        "BriefDescription": "Intel(R) Ultra Path Interconnect (UPI) data transmit bandwidth (MB/sec)",
20168c61edb8SIan Rogers        "MetricExpr": "UNC_UPI_TxL_FLITS.ALL_DATA * 7.111111111111111 / 1e6 / duration_time",
20178c61edb8SIan Rogers        "MetricName": "upi_data_transmit_bw",
20188c61edb8SIan Rogers        "ScaleUnit": "1MB/s"
2019ecd94f1bSKan Liang    }
2020ecd94f1bSKan Liang]
2021