xref: /freebsd/lib/libpmc/pmc.corei7.3 (revision b59017c5cad90d0f09a59e68c00457b7faf93e7c)
1.\" Copyright (c) 2010 Fabien Thomas.  All rights reserved.
2.\"
3.\" Redistribution and use in source and binary forms, with or without
4.\" modification, are permitted provided that the following conditions
5.\" are met:
6.\" 1. Redistributions of source code must retain the above copyright
7.\"    notice, this list of conditions and the following disclaimer.
8.\" 2. Redistributions in binary form must reproduce the above copyright
9.\"    notice, this list of conditions and the following disclaimer in the
10.\"    documentation and/or other materials provided with the distribution.
11.\"
12.\" THIS SOFTWARE IS PROVIDED BY THE AUTHOR AND CONTRIBUTORS ``AS IS'' AND
13.\" ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
14.\" IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
15.\" ARE DISCLAIMED.  IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE LIABLE
16.\" FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
17.\" DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
18.\" OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
19.\" HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
20.\" LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY
21.\" OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF
22.\" SUCH DAMAGE.
23.\"
24.Dd March 24, 2010
25.Dt PMC.COREI7 3
26.Os
27.Sh NAME
28.Nm pmc.corei7
29.Nd measurement events for
30.Tn Intel
31.Tn Core i7 and Xeon 5500
32family CPUs
33.Sh LIBRARY
34.Lb libpmc
35.Sh SYNOPSIS
36.In pmc.h
37.Sh DESCRIPTION
38.Tn Intel
39.Tn "Core i7"
40CPUs contain PMCs conforming to version 2 of the
41.Tn Intel
42performance measurement architecture.
43These CPUs may contain up to three classes of PMCs:
44.Bl -tag -width "Li PMC_CLASS_IAP"
45.It Li PMC_CLASS_IAF
46Fixed-function counters that count only one hardware event per counter.
47.It Li PMC_CLASS_IAP
48Programmable counters that may be configured to count one of a defined
49set of hardware events.
50.El
51.Pp
52The number of PMCs available in each class and their widths need to be
53determined at run time by calling
54.Xr pmc_cpuinfo 3 .
55.Pp
56Intel Core i7 and Xeon 5500 PMCs are documented in
57.Rs
58.%B "Intel(R) 64 and IA-32 Architectures Software Developes Manual"
59.%T "Volume 3B: System Programming Guide, Part 2"
60.%N "Order Number: 253669-033US"
61.%D December 2009
62.%Q "Intel Corporation"
63.Re
64.Ss COREI7 AND XEON 5500 FIXED FUNCTION PMCS
65These PMCs and their supported events are documented in
66.Xr pmc.iaf 3 .
67Not all CPUs in this family implement fixed-function counters.
68.Ss COREI7 AND XEON 5500 PROGRAMMABLE PMCS
69The programmable PMCs support the following capabilities:
70.Bl -column "PMC_CAP_INTERRUPT" "Support"
71.It Em Capability Ta Em Support
72.It PMC_CAP_CASCADE Ta \&No
73.It PMC_CAP_EDGE Ta Yes
74.It PMC_CAP_INTERRUPT Ta Yes
75.It PMC_CAP_INVERT Ta Yes
76.It PMC_CAP_READ Ta Yes
77.It PMC_CAP_PRECISE Ta \&No
78.It PMC_CAP_SYSTEM Ta Yes
79.It PMC_CAP_TAGGING Ta \&No
80.It PMC_CAP_THRESHOLD Ta Yes
81.It PMC_CAP_USER Ta Yes
82.It PMC_CAP_WRITE Ta Yes
83.El
84.Ss Event Qualifiers
85Event specifiers for these PMCs support the following common
86qualifiers:
87.Bl -tag -width indent
88.It Li rsp= Ns Ar value
89Configure the Off-core Response bits.
90.Bl -tag -width indent
91.It Li DMND_DATA_RD
92Counts the number of demand and DCU prefetch data reads of full
93and partial cachelines as well as demand data page table entry
94cacheline reads.
95Does not count L2 data read prefetches or instruction fetches.
96.It Li DMND_RFO
97Counts the number of demand and DCU prefetch reads for ownership
98(RFO) requests generated by a write to data cacheline.
99Does not count L2 RFO.
100.It Li DMND_IFETCH
101Counts the number of demand and DCU prefetch instruction cacheline
102reads.
103Does not count L2 code read prefetches.
104WB Counts the number of writeback (modified to exclusive) transactions.
105.It Li PF_DATA_RD
106Counts the number of data cacheline reads generated by L2 prefetchers.
107.It Li PF_RFO
108Counts the number of RFO requests generated by L2 prefetchers.
109.It Li PF_IFETCH
110Counts the number of code reads generated by L2 prefetchers.
111.It Li OTHER
112Counts one of the following transaction types, including L3 invalidate,
113I/O, full or partial writes, WC or non-temporal stores, CLFLUSH, Fences,
114lock, unlock, split lock.
115.It Li UNCORE_HIT
116L3 Hit: local or remote home requests that hit L3 cache in the uncore
117with no coherency actions required (snooping).
118.It Li OTHER_CORE_HIT_SNP
119L3 Hit: local or remote home requests that hit L3 cache in the uncore
120and was serviced by another core with a cross core snoop where no modified
121copies were found (clean).
122.It Li OTHER_CORE_HITM
123L3 Hit: local or remote home requests that hit L3 cache in the uncore
124and was serviced by another core with a cross core snoop where modified
125copies were found (HITM).
126.It Li REMOTE_CACHE_FWD
127L3 Miss: local homed requests that missed the L3 cache and was serviced
128by forwarded data following a cross package snoop where no modified
129copies found. (Remote home requests are not counted)
130.It Li REMOTE_DRAM
131L3 Miss: remote home requests that missed the L3 cache and were serviced
132by remote DRAM.
133.It Li LOCAL_DRAM
134L3 Miss: local home requests that missed the L3 cache and were serviced
135by local DRAM.
136.It Li NON_DRAM
137Non-DRAM requests that were serviced by IOH.
138.El
139.It Li cmask= Ns Ar value
140Configure the PMC to increment only if the number of configured
141events measured in a cycle is greater than or equal to
142.Ar value .
143.It Li edge
144Configure the PMC to count the number of de-asserted to asserted
145transitions of the conditions expressed by the other qualifiers.
146If specified, the counter will increment only once whenever a
147condition becomes true, irrespective of the number of clocks during
148which the condition remains true.
149.It Li inv
150Invert the sense of comparison when the
151.Dq Li cmask
152qualifier is present, making the counter increment when the number of
153events per cycle is less than the value specified by the
154.Dq Li cmask
155qualifier.
156.It Li os
157Configure the PMC to count events happening at processor privilege
158level 0.
159.It Li usr
160Configure the PMC to count events occurring at privilege levels 1, 2
161or 3.
162.El
163.Pp
164If neither of the
165.Dq Li os
166or
167.Dq Li usr
168qualifiers are specified, the default is to enable both.
169.Ss Event Specifiers (Programmable PMCs)
170Core i7 and Xeon 5500 programmable PMCs support the following events:
171.Bl -tag -width indent
172.It Li SB_DRAIN.ANY
173.Pq Event 04H , Umask 07H
174Counts the number of store buffer drains.
175.It Li STORE_BLOCKS.AT_RET
176.Pq Event 06H , Umask 04H
177Counts number of loads delayed with at-Retirement block code.
178The following loads need to be executed at retirement and wait for all
179senior stores on the same thread to be drained: load splitting across
1804K boundary (page split), load accessing uncacheable
181(UC or USWC) memory, load lock, and load with page table in UC or USWC memory region.
182.It Li STORE_BLOCKS.L1D_BLOCK
183.Pq Event 06H , Umask 08H
184Cacheable loads delayed with L1D block code
185.It Li PARTIAL_ADDRESS_ALIAS
186.Pq Event 07H , Umask 01H
187Counts false dependency due to partial address aliasing
188.It Li DTLB_LOAD_MISSES.ANY
189.Pq Event 08H , Umask 01H
190Counts all load misses that cause a page walk
191.It Li DTLB_LOAD_MISSES.WALK_COMPLETED
192.Pq Event 08H , Umask 02H
193Counts number of completed page walks due to load miss in the STLB.
194.It Li DTLB_LOAD_MISSES.STLB_HIT
195.Pq Event 08H , Umask 10H
196Number of cache load STLB hits
197.It Li DTLB_LOAD_MISSES.PDE_MISS
198.Pq Event 08H , Umask 20H
199Number of DTLB cache load misses where the low part of the linear to
200physical address translation was missed.
201.It Li DTLB_LOAD_MISSES.LARGE_WALK_COMPLETED
202.Pq Event 08H , Umask 80H
203Counts number of completed large page walks due to load miss in the STLB.
204.It Li MEM_INST_RETIRED.LOADS
205.Pq Event 0BH , Umask 01H
206Counts the number of instructions with an architecturally-visible store
207retired on the architected path.
208In conjunction with ld_lat facility
209.It Li MEM_INST_RETIRED.STORES
210.Pq Event 0BH , Umask 02H
211Counts the number of instructions with an architecturally-visible store
212retired on the architected path.
213In conjunction with ld_lat facility
214.It Li MEM_INST_RETIRED.LATENCY_ABOVE_THRESHOLD
215.Pq Event 0BH , Umask 10H
216Counts the number of instructions exceeding the latency specified with
217ld_lat facility.
218In conjunction with ld_lat facility
219.It Li MEM_STORE_RETIRED.DTLB_MISS
220.Pq Event 0CH , Umask 01H
221The event counts the number of retired stores that missed the DTLB.
222The DTLB miss is not counted if the store operation causes a fault.
223Does not counter prefetches.
224Counts both primary and secondary misses to the TLB
225.It Li UOPS_ISSUED.ANY
226.Pq Event 0EH , Umask 01H
227Counts the number of Uops issued by the Register Allocation Table to the
228Reservation Station, i.e. the UOPs issued from the front end to the back
229end.
230.It Li UOPS_ISSUED.STALLED_CYCLES
231.Pq Event 0EH , Umask 01H
232Counts the number of cycles no Uops issued by the Register Allocation Table
233to the Reservation Station, i.e. the UOPs issued from the front end to the
234back end.
235set invert=1, cmask = 1
236.It Li UOPS_ISSUED.FUSED
237.Pq Event 0EH , Umask 02H
238Counts the number of fused Uops that were issued from the Register
239Allocation Table to the Reservation Station.
240.It Li MEM_UNCORE_RETIRED.L3_DATA_MISS_UNKNOWN
241.Pq Event 0FH , Umask 01H
242Counts number of memory load instructions retired where the memory reference
243missed L3 and data source is unknown.
244Available only for CPUID signature 06_2EH
245.It Li MEM_UNCORE_RETIRED.OTHER_CORE_L2_HITM
246.Pq Event 0FH , Umask 02H
247Counts number of memory load instructions retired where the memory reference
248hit modified data in a sibling core residing on the same socket.
249.It Li MEM_UNCORE_RETIRED.REMOTE_CACHE_LOCAL_HOME_HIT
250.Pq Event 0FH , Umask 08H
251Counts number of memory load instructions retired where the memory reference
252missed the L1, L2 and L3 caches and HIT in a remote socket's cache.
253Only counts locally homed lines.
254.It Li MEM_UNCORE_RETIRED.REMOTE_DRAM
255.Pq Event 0FH , Umask 10H
256Counts number of memory load instructions retired where the memory reference
257missed the L1, L2 and L3 caches and was remotely homed.
258This includes both DRAM access and HITM in a remote socket's cache
259for remotely homed lines.
260.It Li MEM_UNCORE_RETIRED.LOCAL_DRAM
261.Pq Event 0FH , Umask 20H
262Counts number of memory load instructions retired where the memory reference
263missed the L1, L2 and L3 caches and required a local socket memory
264reference.
265This includes locally homed cachelines that were in a modified
266state in another socket.
267.It Li MEM_UNCORE_RETIRED.UNCACHEABLE
268.Pq Event 0FH , Umask 80H
269Counts number of memory load instructions retired where the memory reference
270missed the L1, L2 and L3 caches and to perform I/O.
271Available only for CPUID signature 06_2EH
272.It Li FP_COMP_OPS_EXE.X87
273.Pq Event 10H , Umask 01H
274Counts the number of FP Computational Uops Executed.
275The number of FADD, FSUB, FCOM, FMULs, integer MULsand IMULs, FDIVs, FPREMs, FSQRTS, integer
276DIVs, and IDIVs.
277This event does not distinguish an FADD used in the middle of a transcendental flow from a separate FADD instruction.
278.It Li FP_COMP_OPS_EXE.MMX
279.Pq Event 10H , Umask 02H
280Counts number of MMX Uops executed.
281.It Li FP_COMP_OPS_EXE.SSE_FP
282.Pq Event 10H , Umask 04H
283Counts number of SSE and SSE2 FP uops executed.
284.It Li FP_COMP_OPS_EXE.SSE2_INTEGER
285.Pq Event 10H , Umask 08H
286Counts number of SSE2 integer uops executed.
287.It Li FP_COMP_OPS_EXE.SSE_FP_PACKED
288.Pq Event 10H , Umask 10H
289Counts number of SSE FP packed uops executed.
290.It Li FP_COMP_OPS_EXE.SSE_FP_SCALAR
291.Pq Event 10H , Umask 20H
292Counts number of SSE FP scalar uops executed.
293.It Li FP_COMP_OPS_EXE.SSE_SINGLE_PRECISION
294.Pq Event 10H , Umask 40H
295Counts number of SSE* FP single precision uops executed.
296.It Li FP_COMP_OPS_EXE.SSE_DOUBLE_PRECISION
297.Pq Event 10H , Umask 80H
298Counts number of SSE* FP double precision uops executed.
299.It Li SIMD_INT_128.PACKED_MPY
300.Pq Event 12H , Umask 01H
301Counts number of 128 bit SIMD integer multiply operations.
302.It Li SIMD_INT_128.PACKED_SHIFT
303.Pq Event 12H , Umask 02H
304Counts number of 128 bit SIMD integer shift operations.
305.It Li SIMD_INT_128.PACK
306.Pq Event 12H , Umask 04H
307Counts number of 128 bit SIMD integer pack operations.
308.It Li SIMD_INT_128.UNPACK
309.Pq Event 12H , Umask 08H
310Counts number of 128 bit SIMD integer unpack operations.
311.It Li SIMD_INT_128.PACKED_LOGICAL
312.Pq Event 12H , Umask 10H
313Counts number of 128 bit SIMD integer logical operations.
314.It Li SIMD_INT_128.PACKED_ARITH
315.Pq Event 12H , Umask 20H
316Counts number of 128 bit SIMD integer arithmetic operations.
317.It Li SIMD_INT_128.SHUFFLE_MOVE
318.Pq Event 12H , Umask 40H
319Counts number of 128 bit SIMD integer shuffle and move operations.
320.It Li LOAD_DISPATCH.RS
321.Pq Event 13H , Umask 01H
322Counts number of loads dispatched from the Reservation Station that bypass
323the Memory Order Buffer.
324.It Li LOAD_DISPATCH.RS_DELAYED
325.Pq Event 13H , Umask 02H
326Counts the number of delayed RS dispatches at the stage latch.
327If an RS dispatch can not bypass to LB, it has another chance to dispatch from the
328one-cycle delayed staging latch before it is written into the LB.
329.It Li LOAD_DISPATCH.MOB
330.Pq Event 13H , Umask 04H
331Counts the number of loads dispatched from the Reservation Station to the
332Memory Order Buffer.
333.It Li LOAD_DISPATCH.ANY
334.Pq Event 13H , Umask 07H
335Counts all loads dispatched from the Reservation Station.
336.It Li ARITH.CYCLES_DIV_BUSY
337.Pq Event 14H , Umask 01H
338Counts the number of cycles the divider is busy executing divide or square
339root operations.
340The divide can be integer, X87 or Streaming SIMD Extensions (SSE).
341The square root operation can be either X87 or SSE.
342Set 'edge =1, invert=1, cmask=1' to count the number of divides.
343Count may be incorrect When SMT is on.
344.It Li ARITH.MUL
345.Pq Event 14H , Umask 02H
346Counts the number of multiply operations executed.
347This includes integer as well as floating point multiply operations but excludes DPPS mul and MPSAD.
348Count may be incorrect When SMT is on
349.It Li INST_QUEUE_WRITES
350.Pq Event 17H , Umask 01H
351Counts the number of instructions written into the instruction queue every
352cycle.
353.It Li INST_DECODED.DEC0
354.Pq Event 18H , Umask 01H
355Counts number of instructions that require decoder 0 to be decoded.
356Usually, this means that the instruction maps to more than 1 uop
357.It Li TWO_UOP_INSTS_DECODED
358.Pq Event 19H , Umask 01H
359An instruction that generates two uops was decoded
360.It Li INST_QUEUE_WRITE_CYCLES
361.Pq Event 1EH , Umask 01H
362This event counts the number of cycles during which instructions are written
363to the instruction queue.
364Dividing this counter by the number of instructions written to the
365instruction queue (INST_QUEUE_WRITES) yields the average number of
366instructions decoded each cycle.
367If this number is less than four and the pipe stalls, this indicates that the decoder is failing to
368decode enough instructions per cycle to sustain the 4-wide pipeline.
369If SSE* instructions that are 6 bytes or longer arrive one after another,
370then front end throughput may limit execution speed.
371In such case,
372.It Li LSD_OVERFLOW
373.Pq Event 20H , Umask 01H
374Counts number of loops that cant stream from the instruction queue.
375.It Li L2_RQSTS.LD_HIT
376.Pq Event 24H , Umask 01H
377Counts number of loads that hit the L2 cache.
378L2 loads include both L1D demand misses as well as L1D prefetches.
379L2 loads can be rejected for various reasons.
380Only non rejected loads are counted.
381.It Li L2_RQSTS.LD_MISS
382.Pq Event 24H , Umask 02H
383Counts the number of loads that miss the L2 cache.
384L2 loads include both L1D demand misses as well as L1D prefetches.
385.It Li L2_RQSTS.LOADS
386.Pq Event 24H , Umask 03H
387Counts all L2 load requests.
388L2 loads include both L1D demand misses as well as L1D prefetches.
389.It Li L2_RQSTS.RFO_HIT
390.Pq Event 24H , Umask 04H
391Counts the number of store RFO requests that hit the L2 cache.
392L2 RFO requests include both L1D demand RFO misses as well as L1D RFO prefetches.
393Count includes WC memory requests, where the data is not fetched but the
394permission to write the line is required.
395.It Li L2_RQSTS.RFO_MISS
396.Pq Event 24H , Umask 08H
397Counts the number of store RFO requests that miss the L2 cache.
398L2 RFO requests include both L1D demand RFO misses as well as L1D RFO prefetches.
399.It Li L2_RQSTS.RFOS
400.Pq Event 24H , Umask 0CH
401Counts all L2 store RFO requests.
402L2 RFO requests include both L1D demand RFO misses as well as L1D RFO prefetches.
403.It Li L2_RQSTS.IFETCH_HIT
404.Pq Event 24H , Umask 10H
405Counts number of instruction fetches that hit the L2 cache.
406L2 instruction fetches include both L1I demand misses as well as L1I instruction
407prefetches.
408.It Li L2_RQSTS.IFETCH_MISS
409.Pq Event 24H , Umask 20H
410Counts number of instruction fetches that miss the L2 cache.
411L2 instruction fetches include both L1I demand misses as well as L1I instruction
412prefetches.
413.It Li L2_RQSTS.IFETCHES
414.Pq Event 24H , Umask 30H
415Counts all instruction fetches.
416L2 instruction fetches include both L1I demand misses as well as L1I instruction prefetches.
417.It Li L2_RQSTS.PREFETCH_HIT
418.Pq Event 24H , Umask 40H
419Counts L2 prefetch hits for both code and data.
420.It Li L2_RQSTS.PREFETCH_MISS
421.Pq Event 24H , Umask 80H
422Counts L2 prefetch misses for both code and data.
423.It Li L2_RQSTS.PREFETCHES
424.Pq Event 24H , Umask C0H
425Counts all L2 prefetches for both code and data.
426.It Li L2_RQSTS.MISS
427.Pq Event 24H , Umask AAH
428Counts all L2 misses for both code and data.
429.It Li L2_RQSTS.REFERENCES
430.Pq Event 24H , Umask FFH
431Counts all L2 requests for both code and data.
432.It Li L2_DATA_RQSTS.DEMAND.I_STATE
433.Pq Event 26H , Umask 01H
434Counts number of L2 data demand loads where the cache line to be loaded is
435in the I (invalid) state, i.e. a cache miss.
436L2 demand loads are both L1D demand misses and L1D prefetches.
437.It Li L2_DATA_RQSTS.DEMAND.S_STATE
438.Pq Event 26H , Umask 02H
439Counts number of L2 data demand loads where the cache line to be loaded is
440in the S (shared) state.
441L2 demand loads are both L1D demand misses and L1D prefetches.
442.It Li L2_DATA_RQSTS.DEMAND.E_STATE
443.Pq Event 26H , Umask 04H
444Counts number of L2 data demand loads where the cache line to be loaded is
445in the E (exclusive) state.
446L2 demand loads are both L1D demand misses and L1D prefetches.
447.It Li L2_DATA_RQSTS.DEMAND.M_STATE
448.Pq Event 26H , Umask 08H
449Counts number of L2 data demand loads where the cache line to be loaded is
450in the M (modified) state.
451L2 demand loads are both L1D demand misses and L1D prefetches.
452.It Li L2_DATA_RQSTS.DEMAND.MESI
453.Pq Event 26H , Umask 0FH
454Counts all L2 data demand requests.
455L2 demand loads are both L1D demand misses and L1D prefetches.
456.It Li L2_DATA_RQSTS.PREFETCH.I_STATE
457.Pq Event 26H , Umask 10H
458Counts number of L2 prefetch data loads where the cache line to be loaded is
459in the I (invalid) state, i.e. a cache miss.
460.It Li L2_DATA_RQSTS.PREFETCH.S_STATE
461.Pq Event 26H , Umask 20H
462Counts number of L2 prefetch data loads where the cache line to be loaded is
463in the S (shared) state.
464A prefetch RFO will miss on an S state line, while a prefetch read will
465hit on an S state line.
466.It Li L2_DATA_RQSTS.PREFETCH.E_STATE
467.Pq Event 26H , Umask 40H
468Counts number of L2 prefetch data loads where the cache line to be loaded is
469in the E (exclusive) state.
470.It Li L2_DATA_RQSTS.PREFETCH.M_STATE
471.Pq Event 26H , Umask 80H
472Counts number of L2 prefetch data loads where the cache line to be loaded is
473in the M (modified) state.
474.It Li L2_DATA_RQSTS.PREFETCH.MESI
475.Pq Event 26H , Umask F0H
476Counts all L2 prefetch requests.
477.It Li L2_DATA_RQSTS.ANY
478.Pq Event 26H , Umask FFH
479Counts all L2 data requests.
480.It Li L2_WRITE.RFO.I_STATE
481.Pq Event 27H , Umask 01H
482Counts number of L2 demand store RFO requests where the cache line to be
483loaded is in the I (invalid) state, i.e, a cache miss.
484The L1D prefetcher does not issue a RFO prefetch.
485This is a demand RFO request
486.It Li L2_WRITE.RFO.S_STATE
487.Pq Event 27H , Umask 02H
488Counts number of L2 store RFO requests where the cache line to be loaded is
489in the S (shared) state.
490The L1D prefetcher does not issue a RFO prefetch.
491This is a demand RFO request
492.It Li L2_WRITE.RFO.M_STATE
493.Pq Event 27H , Umask 08H
494Counts number of L2 store RFO requests where the cache line to be loaded is
495in the M (modified) state.
496The L1D prefetcher does not issue a RFO prefetch.
497This is a demand RFO request
498.It Li L2_WRITE.RFO.HIT
499.Pq Event 27H , Umask 0EH
500Counts number of L2 store RFO requests where the cache line to be loaded is
501in either the S, E or M states.
502The L1D prefetcher does not issue a RFO prefetch.
503This is a demand RFO request
504.It Li L2_WRITE.RFO.MESI
505.Pq Event 27H , Umask 0FH
506Counts all L2 store RFO requests.
507The L1D prefetcher does not issue a RFO prefetch.
508This is a demand RFO request
509.It Li L2_WRITE.LOCK.I_STATE
510.Pq Event 27H , Umask 10H
511Counts number of L2 demand lock RFO requests where the cache line to be
512loaded is in the I (invalid) state, i.e. a cache miss.
513.It Li L2_WRITE.LOCK.S_STATE
514.Pq Event 27H , Umask 20H
515Counts number of L2 lock RFO requests where the cache line to be loaded is
516in the S (shared) state.
517.It Li L2_WRITE.LOCK.E_STATE
518.Pq Event 27H , Umask 40H
519Counts number of L2 demand lock RFO requests where the cache line to be
520loaded is in the E (exclusive) state.
521.It Li L2_WRITE.LOCK.M_STATE
522.Pq Event 27H , Umask 80H
523Counts number of L2 demand lock RFO requests where the cache line to be
524loaded is in the M (modified) state.
525.It Li L2_WRITE.LOCK.HIT
526.Pq Event 27H , Umask E0H
527Counts number of L2 demand lock RFO requests where the cache line to be
528loaded is in either the S, E, or M state.
529.It Li L2_WRITE.LOCK.MESI
530.Pq Event 27H , Umask F0H
531Counts all L2 demand lock RFO requests.
532.It Li L1D_WB_L2.I_STATE
533.Pq Event 28H , Umask 01H
534Counts number of L1 writebacks to the L2 where the cache line to be written
535is in the I (invalid) state, i.e. a cache miss.
536.It Li L1D_WB_L2.S_STATE
537.Pq Event 28H , Umask 02H
538Counts number of L1 writebacks to the L2 where the cache line to be written
539is in the S state.
540.It Li L1D_WB_L2.E_STATE
541.Pq Event 28H , Umask 04H
542Counts number of L1 writebacks to the L2 where the cache line to be written
543is in the E (exclusive) state.
544.It Li L1D_WB_L2.M_STATE
545.Pq Event 28H , Umask 08H
546Counts number of L1 writebacks to the L2 where the cache line to be written
547is in the M (modified) state.
548.It Li L1D_WB_L2.MESI
549.Pq Event 28H , Umask 0FH
550Counts all L1 writebacks to the L2.
551.It Li L3_LAT_CACHE.REFERENCE
552.Pq Event 2EH , Umask 4FH
553This event counts requests originating from the core that reference a cache
554line in the last level cache.
555The event count includes speculative traffic but excludes cache line fills
556due to a L2 hardware-prefetch.
557Because cache hierarchy, cache sizes and other implementation-specific
558characteristics; value comparison to estimate performance differences is not recommended.
559see Table A-1
560.It Li L3_LAT_CACHE.MISS
561.Pq Event 2EH , Umask 41H
562This event counts each cache miss condition for references to the last level
563cache.
564The event count may include speculative traffic but excludes cache
565line fills due to L2 hardware-prefetches.
566Because cache hierarchy, cache sizes and other implementation-specific
567characteristics; value comparison to estimate performance differences is not recommended.
568see Table A-1
569.It Li CPU_CLK_UNHALTED.THREAD_P
570.Pq Event 3CH , Umask 00H
571Counts the number of thread cycles while the thread is not in a halt state.
572The thread enters the halt state when it is running the HLT instruction.
573The core frequency may change from time to time due to power or thermal throttling.
574see Table A-1
575.It Li CPU_CLK_UNHALTED.REF_P
576.Pq Event 3CH , Umask 01H
577Increments at the frequency of TSC when not halted.
578see Table A-1
579.It Li L1D_CACHE_LD.I_STATE
580.Pq Event 40H , Umask 01H
581Counts L1 data cache read requests where the cache line to be loaded is in
582the I (invalid) state, i.e. the read request missed the cache.
583Counter 0, 1 only
584.It Li L1D_CACHE_LD.S_STATE
585.Pq Event 40H , Umask 02H
586Counts L1 data cache read requests where the cache line to be loaded is in
587the S (shared) state.
588Counter 0, 1 only
589.It Li L1D_CACHE_LD.E_STATE
590.Pq Event 40H , Umask 04H
591Counts L1 data cache read requests where the cache line to be loaded is in
592the E (exclusive) state.
593Counter 0, 1 only
594.It Li L1D_CACHE_LD.M_STATE
595.Pq Event 40H , Umask 08H
596Counts L1 data cache read requests where the cache line to be loaded is in
597the M (modified) state.
598Counter 0, 1 only
599.It Li L1D_CACHE_LD.MESI
600.Pq Event 40H , Umask 0FH
601Counts L1 data cache read requests.
602Counter 0, 1 only
603.It Li L1D_CACHE_ST.S_STATE
604.Pq Event 41H , Umask 02H
605Counts L1 data cache store RFO requests where the cache line to be loaded is
606in the S (shared) state.
607Counter 0, 1 only
608.It Li L1D_CACHE_ST.E_STATE
609.Pq Event 41H , Umask 04H
610Counts L1 data cache store RFO requests where the cache line to be loaded is
611in the E (exclusive) state.
612Counter 0, 1 only
613.It Li L1D_CACHE_ST.M_STATE
614.Pq Event 41H , Umask 08H
615Counts L1 data cache store RFO requests where cache line to be loaded is in
616the M (modified) state.
617Counter 0, 1 only
618.It Li L1D_CACHE_LOCK.HIT
619.Pq Event 42H , Umask 01H
620Counts retired load locks that hit in the L1 data cache or hit in an already
621allocated fill buffer.
622The lock portion of the load lock transaction must hit in the L1D.
623The initial load will pull the lock into the L1 data cache.
624Counter 0, 1 only
625.It Li L1D_CACHE_LOCK.S_STATE
626.Pq Event 42H , Umask 02H
627Counts L1 data cache retired load locks that hit the target cache line in
628the shared state.
629Counter 0, 1 only
630.It Li L1D_CACHE_LOCK.E_STATE
631.Pq Event 42H , Umask 04H
632Counts L1 data cache retired load locks that hit the target cache line in
633the exclusive state.
634Counter 0, 1 only
635.It Li L1D_CACHE_LOCK.M_STATE
636.Pq Event 42H , Umask 08H
637Counts L1 data cache retired load locks that hit the target cache line in
638the modified state.
639Counter 0, 1 only
640.It Li L1D_ALL_REF.ANY
641.Pq Event 43H , Umask 01H
642Counts all references (uncached, speculated and retired) to the L1 data
643cache, including all loads and stores with any memory types.
644The event counts memory accesses only when they are actually performed.
645For example, a load blocked by unknown store address and later performed
646is only counted once.
647The event does not include non- memory accesses, such as I/O accesses.
648Counter 0, 1 only
649.It Li L1D_ALL_REF.CACHEABLE
650.Pq Event 43H , Umask 02H
651Counts all data reads and writes (speculated and retired) from cacheable
652memory, including locked operations.
653Counter 0, 1 only
654.It Li DTLB_MISSES.ANY
655.Pq Event 49H , Umask 01H
656Counts the number of misses in the STLB which causes a page walk.
657.It Li DTLB_MISSES.WALK_COMPLETED
658.Pq Event 49H , Umask 02H
659Counts number of misses in the STLB which resulted in a completed page walk.
660.It Li DTLB_MISSES.STLB_HIT
661.Pq Event 49H , Umask 10H
662Counts the number of DTLB first level misses that hit in the second level TLB.
663This event is only relevant if the core contains multiple DTLB levels.
664.It Li DTLB_MISSES.PDE_MISS
665.Pq Event 49H , Umask 20H
666Number of DTLB misses caused by low part of address, includes references to 2M pages because 2M pages do not use the PDE.
667.It Li DTLB_MISSES.LARGE_WALK_COMPLETED
668.Pq Event 49H , Umask 80H
669Counts number of misses in the STLB which resulted in a completed page walk for large pages.
670.It Li LOAD_HIT_PRE
671.Pq Event 4CH , Umask 01H
672Counts load operations sent to the L1 data cache while a previous SSE
673prefetch instruction to the same cache line has started prefetching but has
674not yet finished.
675.It Li L1D_PREFETCH.REQUESTS
676.Pq Event 4EH , Umask 01H
677Counts number of hardware prefetch requests dispatched out of the prefetch
678FIFO.
679.It Li L1D_PREFETCH.MISS
680.Pq Event 4EH , Umask 02H
681Counts number of hardware prefetch requests that miss the L1D.
682There are two prefetchers in the L1D.
683A streamer, which predicts lines sequentially after this one should be fetched,
684and the IP prefetcher that remembers access patterns for the current instruction.
685The streamer prefetcher stops on an L1D hit, while the IP prefetcher does not.
686.It Li L1D_PREFETCH.TRIGGERS
687.Pq Event 4EH , Umask 04H
688Counts number of prefetch requests triggered by the Finite State Machine and
689pushed into the prefetch FIFO.
690Some of the prefetch requests are dropped due to overwrites or competition between
691the IP index prefetcher and streamer prefetcher.
692The prefetch FIFO contains 4 entries.
693.It Li L1D.REPL
694.Pq Event 51H , Umask 01H
695Counts the number of lines brought into the L1 data cache.
696Counter 0, 1 only
697.It Li L1D.M_REPL
698.Pq Event 51H , Umask 02H
699Counts the number of modified lines brought into the L1 data cache.
700Counter 0, 1 only
701.It Li L1D.M_EVICT
702.Pq Event 51H , Umask 04H
703Counts the number of modified lines evicted from the L1 data cache due to
704replacement.
705Counter 0, 1 only
706.It Li L1D.M_SNOOP_EVICT
707.Pq Event 51H , Umask 08H
708Counts the number of modified lines evicted from the L1 data cache due to
709snoop HITM intervention.
710Counter 0, 1 only
711.It Li L1D_CACHE_PREFETCH_LOCK_FB_HIT
712.Pq Event 52H , Umask 01H
713Counts the number of cacheable load lock speculated instructions accepted
714into the fill buffer.
715.It Li L1D_CACHE_LOCK_FB_HIT
716.Pq Event 53H , Umask 01H
717Counts the number of cacheable load lock speculated or retired instructions
718accepted into the fill buffer.
719.It Li CACHE_LOCK_CYCLES.L1D_L2
720.Pq Event 63H , Umask 01H
721Cycle count during which the L1D and L2 are locked.
722A lock is asserted when there is a locked memory access, due to uncacheable memory, a locked
723operation that spans two cache lines, or a page walk from an uncacheable
724page table.
725Counter 0, 1 only.
726L1D and L2 locks have a very high performance penalty and it is highly recommended to
727avoid such accesses.
728.It Li CACHE_LOCK_CYCLES.L1D
729.Pq Event 63H , Umask 02H
730Counts the number of cycles that cacheline in the L1 data cache unit is
731locked.
732Counter 0, 1 only.
733.It Li IO_TRANSACTIONS
734.Pq Event 6CH , Umask 01H
735Counts the number of completed I/O transactions.
736.It Li L1I.HITS
737.Pq Event 80H , Umask 01H
738Counts all instruction fetches that hit the L1 instruction cache.
739.It Li L1I.MISSES
740.Pq Event 80H , Umask 02H
741Counts all instruction fetches that miss the L1I cache.
742This includes instruction cache misses, streaming buffer misses, victim cache misses and
743uncacheable fetches.
744An instruction fetch miss is counted only once and not once for every cycle
745it is outstanding.
746.It Li L1I.READS
747.Pq Event 80H , Umask 03H
748Counts all instruction fetches, including uncacheable fetches that bypass
749the L1I.
750.It Li L1I.CYCLES_STALLED
751.Pq Event 80H , Umask 04H
752Cycle counts for which an instruction fetch stalls due to a L1I cache miss,
753ITLB miss or ITLB fault.
754.It Li LARGE_ITLB.HIT
755.Pq Event 82H , Umask 01H
756Counts number of large ITLB hits.
757.It Li ITLB_MISSES.ANY
758.Pq Event 85H , Umask 01H
759Counts the number of misses in all levels of the ITLB which causes a page
760walk.
761.It Li ITLB_MISSES.WALK_COMPLETED
762.Pq Event 85H , Umask 02H
763Counts number of misses in all levels of the ITLB which resulted in a
764completed page walk.
765.It Li ILD_STALL.LCP
766.Pq Event 87H , Umask 01H
767Cycles Instruction Length Decoder stalls due to length changing prefixes:
76866, 67 or REX.W (for EM64T) instructions which change the length of the
769decoded instruction.
770.It Li ILD_STALL.MRU
771.Pq Event 87H , Umask 02H
772Instruction Length Decoder stall cycles due to Brand Prediction Unit (PBU)
773Most Recently Used (MRU) bypass.
774.It Li ILD_STALL.IQ_FULL
775.Pq Event 87H , Umask 04H
776Stall cycles due to a full instruction queue.
777.It Li ILD_STALL.REGEN
778.Pq Event 87H , Umask 08H
779Counts the number of regen stalls.
780.It Li ILD_STALL.ANY
781.Pq Event 87H , Umask 0FH
782Counts any cycles the Instruction Length Decoder is stalled.
783.It Li BR_INST_EXEC.COND
784.Pq Event 88H , Umask 01H
785Counts the number of conditional near branch instructions executed, but not
786necessarily retired.
787.It Li BR_INST_EXEC.DIRECT
788.Pq Event 88H , Umask 02H
789Counts all unconditional near branch instructions excluding calls and
790indirect branches.
791.It Li BR_INST_EXEC.INDIRECT_NON_CALL
792.Pq Event 88H , Umask 04H
793Counts the number of executed indirect near branch instructions that are not
794calls.
795.It Li BR_INST_EXEC.NON_CALLS
796.Pq Event 88H , Umask 07H
797Counts all non call near branch instructions executed, but not necessarily
798retired.
799.It Li BR_INST_EXEC.RETURN_NEAR
800.Pq Event 88H , Umask 08H
801Counts indirect near branches that have a return mnemonic.
802.It Li BR_INST_EXEC.DIRECT_NEAR_CALL
803.Pq Event 88H , Umask 10H
804Counts unconditional near call branch instructions, excluding non call
805branch, executed.
806.It Li BR_INST_EXEC.INDIRECT_NEAR_CALL
807.Pq Event 88H , Umask 20H
808Counts indirect near calls, including both register and memory indirect,
809executed.
810.It Li BR_INST_EXEC.NEAR_CALLS
811.Pq Event 88H , Umask 30H
812Counts all near call branches executed, but not necessarily retired.
813.It Li BR_INST_EXEC.TAKEN
814.Pq Event 88H , Umask 40H
815Counts taken near branches executed, but not necessarily retired.
816.It Li BR_INST_EXEC.ANY
817.Pq Event 88H , Umask 7FH
818Counts all near executed branches (not necessarily retired).
819This includes only instructions and not micro-op branches.
820Frequent branching is not necessarily a major performance issue.
821However frequent branch mispredictions may be a problem.
822.It Li BR_MISP_EXEC.COND
823.Pq Event 89H , Umask 01H
824Counts the number of mispredicted conditional near branch instructions
825executed, but not necessarily retired.
826.It Li BR_MISP_EXEC.DIRECT
827.Pq Event 89H , Umask 02H
828Counts mispredicted macro unconditional near branch instructions, excluding
829calls and indirect branches (should always be 0).
830.It Li BR_MISP_EXEC.INDIRECT_NON_CALL
831.Pq Event 89H , Umask 04H
832Counts the number of executed mispredicted indirect near branch instructions
833that are not calls.
834.It Li BR_MISP_EXEC.NON_CALLS
835.Pq Event 89H , Umask 07H
836Counts mispredicted non call near branches executed, but not necessarily
837retired.
838.It Li BR_MISP_EXEC.RETURN_NEAR
839.Pq Event 89H , Umask 08H
840Counts mispredicted indirect branches that have a rear return mnemonic.
841.It Li BR_MISP_EXEC.DIRECT_NEAR_CALL
842.Pq Event 89H , Umask 10H
843Counts mispredicted non-indirect near calls executed, (should always be 0).
844.It Li BR_MISP_EXEC.INDIRECT_NEAR_CALL
845.Pq Event 89H , Umask 20H
846Counts mispredicted indirect near calls executed, including both register
847and memory indirect.
848.It Li BR_MISP_EXEC.NEAR_CALLS
849.Pq Event 89H , Umask 30H
850Counts all mispredicted near call branches executed, but not necessarily
851retired.
852.It Li BR_MISP_EXEC.TAKEN
853.Pq Event 89H , Umask 40H
854Counts executed mispredicted near branches that are taken, but not
855necessarily retired.
856.It Li BR_MISP_EXEC.ANY
857.Pq Event 89H , Umask 7FH
858Counts the number of mispredicted near branch instructions that were
859executed, but not necessarily retired.
860.It Li RESOURCE_STALLS.ANY
861.Pq Event A2H , Umask 01H
862Counts the number of Allocator resource related stalls.
863Includes register renaming buffer entries, memory buffer entries.
864In addition to resource related stalls, this event counts some other events.
865Includes stalls arising during branch misprediction recovery, such as if retirement of the
866mispredicted branch is delayed and stalls arising while store buffer is
867draining from synchronizing operations.
868Does not include stalls due to SuperQ (off core) queue full, too many cache
869misses, etc.
870.It Li RESOURCE_STALLS.LOAD
871.Pq Event A2H , Umask 02H
872Counts the cycles of stall due to lack of load buffer for load operation.
873.It Li RESOURCE_STALLS.RS_FULL
874.Pq Event A2H , Umask 04H
875This event counts the number of cycles when the number of instructions in
876the pipeline waiting for execution reaches the limit the processor can handle.
877A high count of this event indicates that there are long latency
878operations in the pipe (possibly load and store operations that miss the L2
879cache, or instructions dependent upon instructions further down the pipeline
880that have yet to retire.
881When RS is full, new instructions can not enter the reservation station and
882start execution.
883.It Li RESOURCE_STALLS.STORE
884.Pq Event A2H , Umask 08H
885This event counts the number of cycles that a resource related stall will
886occur due to the number of store instructions reaching the limit of the
887pipeline, (i.e. all store buffers are used).
888The stall ends when a store instruction commits its data to the cache or memory.
889.It Li RESOURCE_STALLS.ROB_FULL
890.Pq Event A2H , Umask 10H
891Counts the cycles of stall due to re- order buffer full.
892.It Li RESOURCE_STALLS.FPCW
893.Pq Event A2H , Umask 20H
894Counts the number of cycles while execution was stalled due to writing the
895floating-point unit (FPU) control word.
896.It Li RESOURCE_STALLS.MXCSR
897.Pq Event A2H , Umask 40H
898Stalls due to the MXCSR register rename occurring to close to a previous
899MXCSR rename.
900The MXCSR provides control and status for the MMX registers.
901.It Li RESOURCE_STALLS.OTHER
902.Pq Event A2H , Umask 80H
903Counts the number of cycles while execution was stalled due to other
904resource issues.
905.It Li MACRO_INSTS.FUSIONS_DECODED
906.Pq Event A6H , Umask 01H
907Counts the number of instructions decoded that are macro-fused but not
908necessarily executed or retired.
909.It Li BACLEAR_FORCE_IQ
910.Pq Event A7H , Umask 01H
911Counts number of times a BACLEAR was forced by the Instruction Queue.
912The IQ is also responsible for providing conditional branch prediction direction
913based on a static scheme and dynamic data provided by the L2 Branch
914Prediction Unit.
915If the conditional branch target is not found in the Target Array and the IQ
916predicts that the branch is taken, then the IQ will force
917the Branch Address Calculator to issue a BACLEAR.
918Each BACLEAR asserted by the BAC generates approximately an 8 cycle bubble
919in the instruction fetch pipeline.
920.It Li LSD.UOPS
921.Pq Event A8H , Umask 01H
922Counts the number of micro-ops delivered by loop stream detector
923Use cmask=1 and invert to count cycles
924.It Li ITLB_FLUSH
925.Pq Event AEH , Umask 01H
926Counts the number of ITLB flushes
927.It Li OFFCORE_REQUESTS.L1D_WRITEBACK
928.Pq Event B0H , Umask 40H
929Counts number of L1D writebacks to the uncore.
930.It Li UOPS_EXECUTED.PORT0
931.Pq Event B1H , Umask 01H
932Counts number of Uops executed that were issued on port 0.
933Port 0 handles integer arithmetic, SIMD and FP add Uops.
934.It Li UOPS_EXECUTED.PORT1
935.Pq Event B1H , Umask 02H
936Counts number of Uops executed that were issued on port 1.
937Port 1 handles integer arithmetic, SIMD, integer shift, FP multiply and FP divide Uops.
938.It Li UOPS_EXECUTED.PORT2_CORE
939.Pq Event B1H , Umask 04H
940Counts number of Uops executed that were issued on port 2.
941Port 2 handles the load Uops.
942This is a core count only and can not be collected per thread.
943.It Li UOPS_EXECUTED.PORT3_CORE
944.Pq Event B1H , Umask 08H
945Counts number of Uops executed that were issued on port 3.
946Port 3 handles store Uops.
947This is a core count only and can not be collected per thread.
948.It Li UOPS_EXECUTED.PORT4_CORE
949.Pq Event B1H , Umask 10H
950Counts number of Uops executed that where issued on port 4.
951Port 4 handles the value to be stored for the store Uops issued on port 3.
952This is a core count only and can not be collected per thread.
953.It Li UOPS_EXECUTED.CORE_ACTIVE_CYCLES_NO_PORT5
954.Pq Event B1H , Umask 1FH
955Counts cycles when the Uops executed were issued from any ports except port
9565.
957Use Cmask=1 for active cycles; Cmask=0 for weighted cycles; Use CMask=1,
958Invert=1 to count P0-4 stalled cycles Use Cmask=1, Edge=1, Invert=1 to count
959P0-4 stalls.
960.It Li UOPS_EXECUTED.PORT5
961.Pq Event B1H , Umask 20H
962Counts number of Uops executed that where issued on port 5.
963.It Li UOPS_EXECUTED.CORE_ACTIVE_CYCLES
964.Pq Event B1H , Umask 3FH
965Counts cycles when the Uops are executing.
966Use Cmask=1 for active cycles; Cmask=0 for weighted cycles; Use CMask=1, Invert=1 to count P0-4 stalled
967cycles Use Cmask=1, Edge=1, Invert=1 to count P0-4 stalls.
968.It Li UOPS_EXECUTED.PORT015
969.Pq Event B1H , Umask 40H
970Counts number of Uops executed that where issued on port 0, 1, or 5.
971use cmask=1, invert=1 to count stall cycles
972.It Li UOPS_EXECUTED.PORT234
973.Pq Event B1H , Umask 80H
974Counts number of Uops executed that where issued on port 2, 3, or 4.
975.It Li OFFCORE_REQUESTS_SQ_FULL
976.Pq Event B2H , Umask 01H
977Counts number of cycles the SQ is full to handle off-core requests.
978.It Li OFF_CORE_RESPONSE_0
979.Pq Event B7H , Umask 01H
980see Section 30.6.1.3, Off-core Response Performance Monitoring in the
981Processor Core
982Requires programming MSR 01A6H
983.It Li SNOOP_RESPONSE.HIT
984.Pq Event B8H , Umask 01H
985Counts HIT snoop response sent by this thread in response to a snoop
986request.
987.It Li SNOOP_RESPONSE.HITE
988.Pq Event B8H , Umask 02H
989Counts HIT E snoop response sent by this thread in response to a snoop
990request.
991.It Li SNOOP_RESPONSE.HITM
992.Pq Event B8H , Umask 04H
993Counts HIT M snoop response sent by this thread in response to a snoop
994request.
995.It Li OFF_CORE_RESPONSE_1
996.Pq Event BBH , Umask 01H
997see Section 30.6.1.3, Off-core Response Performance Monitoring in the
998Processor Core
999Requires programming MSR 01A7H
1000.It Li INST_RETIRED.ANY_P
1001.Pq Event C0H , Umask 01H
1002See Table A-1
1003Notes: INST_RETIRED.ANY is counted by a designated fixed counter.
1004INST_RETIRED.ANY_P is counted by a programmable counter and is an
1005architectural performance event.
1006Event is supported if CPUID.A.EBX[1] = 0.
1007Counting: Faulting executions of GETSEC/VM entry/VM Exit/MWait will not
1008count as retired instructions.
1009.It Li INST_RETIRED.X87
1010.Pq Event C0H , Umask 02H
1011Counts the number of MMX instructions retired.
1012.It Li INST_RETIRED.MMX
1013.Pq Event C0H , Umask 04H
1014Counts the number of floating point computational operations retired:
1015floating point computational operations executed by the assist handler and
1016sub-operations of complex floating point instructions like transcendental
1017instructions.
1018.It Li UOPS_RETIRED.ANY
1019.Pq Event C2H , Umask 01H
1020Counts the number of micro-ops retired, (macro-fused=1, micro- fused=2,
1021others=1; maximum count of 8 per cycle).
1022Most instructions are composed of one or two micro-ops.
1023Some instructions are decoded into longer sequences such as repeat instructions,
1024floating point transcendental instructions, and assists.
1025Use cmask=1 and invert to count active cycles or stalled cycles
1026.It Li UOPS_RETIRED.RETIRE_SLOTS
1027.Pq Event C2H , Umask 02H
1028Counts the number of retirement slots used each cycle
1029.It Li UOPS_RETIRED.MACRO_FUSED
1030.Pq Event C2H , Umask 04H
1031Counts number of macro-fused uops retired.
1032.It Li MACHINE_CLEARS.CYCLES
1033.Pq Event C3H , Umask 01H
1034Counts the cycles machine clear is asserted.
1035.It Li MACHINE_CLEARS.MEM_ORDER
1036.Pq Event C3H , Umask 02H
1037Counts the number of machine clears due to memory order conflicts.
1038.It Li MACHINE_CLEARS.SMC
1039.Pq Event C3H , Umask 04H
1040Counts the number of times that a program writes to a code section.
1041Self-modifying code causes a sever penalty in all Intel 64 and IA-32
1042processors.
1043The modified cache line is written back to the L2 and L3caches.
1044.It Li BR_INST_RETIRED.ALL_BRANCHES
1045.Pq Event C4H , Umask 00H
1046See Table A-1
1047.It Li BR_INST_RETIRED.CONDITIONAL
1048.Pq Event C4H , Umask 01H
1049Counts the number of conditional branch instructions retired.
1050.It Li BR_INST_RETIRED.NEAR_CALL
1051.Pq Event C4H , Umask 02H
1052Counts the number of direct & indirect near unconditional calls retired
1053.It Li BR_INST_RETIRED.ALL_BRANCHES
1054.Pq Event C4H , Umask 04H
1055Counts the number of branch instructions retired
1056.It Li BR_MISP_RETIRED.ALL_BRANCHES
1057.Pq Event C5H , Umask 00H
1058See Table A-1
1059.It Li BR_MISP_RETIRED.NEAR_CALL
1060.Pq Event C5H , Umask 02H
1061Counts mispredicted direct & indirect near unconditional retired calls.
1062.It Li SSEX_UOPS_RETIRED.PACKED_SINGLE
1063.Pq Event C7H , Umask 01H
1064Counts SIMD packed single-precision floating point Uops retired.
1065.It Li SSEX_UOPS_RETIRED.SCALAR_SINGLE
1066.Pq Event C7H , Umask 02H
1067Counts SIMD calar single-precision floating point Uops retired.
1068.It Li SSEX_UOPS_RETIRED.PACKED_DOUBLE
1069.Pq Event C7H , Umask 04H
1070Counts SIMD packed double- precision floating point Uops retired.
1071.It Li SSEX_UOPS_RETIRED.SCALAR_DOUBLE
1072.Pq Event C7H , Umask 08H
1073Counts SIMD scalar double-precision floating point Uops retired.
1074.It Li SSEX_UOPS_RETIRED.VECTOR_INTEGER
1075.Pq Event C7H , Umask 10H
1076Counts 128-bit SIMD vector integer Uops retired.
1077.It Li ITLB_MISS_RETIRED
1078.Pq Event C8H , Umask 20H
1079Counts the number of retired instructions that missed the ITLB when the
1080instruction was fetched.
1081.It Li MEM_LOAD_RETIRED.L1D_HIT
1082.Pq Event CBH , Umask 01H
1083Counts number of retired loads that hit the L1 data cache.
1084.It Li MEM_LOAD_RETIRED.L2_HIT
1085.Pq Event CBH , Umask 02H
1086Counts number of retired loads that hit the L2 data cache.
1087.It Li MEM_LOAD_RETIRED.L3_UNSHARED_HIT
1088.Pq Event CBH , Umask 04H
1089Counts number of retired loads that hit their own, unshared lines in the L3
1090cache.
1091.It Li MEM_LOAD_RETIRED.OTHER_CORE_L2_HIT_HITM
1092.Pq Event CBH , Umask 08H
1093Counts number of retired loads that hit in a sibling core's L2 (on die core).
1094Since the L3 is inclusive of all cores on the package, this is an L3 hit.
1095This counts both clean or modified hits.
1096.It Li MEM_LOAD_RETIRED.L3_MISS
1097.Pq Event CBH , Umask 10H
1098Counts number of retired loads that miss the L3 cache.
1099The load was satisfied by a remote socket, local memory or an IOH.
1100.It Li MEM_LOAD_RETIRED.HIT_LFB
1101.Pq Event CBH , Umask 40H
1102Counts number of retired loads that miss the L1D and the address is located
1103in an allocated line fill buffer and will soon be committed to cache.
1104This is counting secondary L1D misses.
1105.It Li MEM_LOAD_RETIRED.DTLB_MISS
1106.Pq Event CBH , Umask 80H
1107Counts the number of retired loads that missed the DTLB.
1108The DTLB miss is not counted if the load operation causes a fault.
1109This event counts loads from cacheable memory only.
1110The event does not count loads by software prefetches.
1111Counts both primary and secondary misses to the TLB.
1112.It Li FP_MMX_TRANS.TO_FP
1113.Pq Event CCH , Umask 01H
1114Counts the first floating-point instruction following any MMX instruction.
1115You can use this event to estimate the penalties for the transitions between
1116floating-point and MMX technology states.
1117.It Li FP_MMX_TRANS.TO_MMX
1118.Pq Event CCH , Umask 02H
1119Counts the first MMX instruction following a floating-point instruction.
1120You can use this event to estimate the penalties for the transitions between
1121floating-point and MMX technology states.
1122.It Li FP_MMX_TRANS.ANY
1123.Pq Event CCH , Umask 03H
1124Counts all transitions from floating point to MMX instructions and from MMX
1125instructions to floating point instructions.
1126You can use this event to estimate the penalties for the transitions between
1127floating-point and MMX technology states.
1128.It Li MACRO_INSTS.DECODED
1129.Pq Event D0H , Umask 01H
1130Counts the number of instructions decoded, (but not necessarily executed or
1131retired).
1132.It Li UOPS_DECODED.MS
1133.Pq Event D1H , Umask 02H
1134Counts the number of Uops decoded by the Microcode Sequencer, MS.
1135The MS delivers uops when the instruction is more than 4 uops long or a microcode
1136assist is occurring.
1137.It Li UOPS_DECODED.ESP_FOLDING
1138.Pq Event D1H , Umask 04H
1139Counts number of stack pointer (ESP) instructions decoded: push , pop , call
1140, ret, etc.
1141ESP instructions do not generate a Uop to increment or decrement ESP.
1142Instead, they update an ESP_Offset register that keeps track of the
1143delta to the current value of the ESP register.
1144.It Li UOPS_DECODED.ESP_SYNC
1145.Pq Event D1H , Umask 08H
1146Counts number of stack pointer (ESP) sync operations where an ESP
1147instruction is corrected by adding the ESP offset register to the current
1148value of the ESP register.
1149.It Li RAT_STALLS.FLAGS
1150.Pq Event D2H , Umask 01H
1151Counts the number of cycles during which execution stalled due to several
1152reasons, one of which is a partial flag register stall.
1153A partial register stall may occur when two conditions are met: 1) an instruction modifies
1154some, but not all, of the flags in the flag register and 2) the next
1155instruction, which depends on flags, depends on flags that were not modified
1156by this instruction.
1157.It Li RAT_STALLS.REGISTERS
1158.Pq Event D2H , Umask 02H
1159This event counts the number of cycles instruction execution latency became
1160longer than the defined latency because the instruction used a register that
1161was partially written by previous instruction.
1162.It Li RAT_STALLS.ROB_READ_PORT
1163.Pq Event D2H , Umask 04H
1164Counts the number of cycles when ROB read port stalls occurred, which did
1165not allow new micro-ops to enter the out-of-order pipeline.
1166Note that, at this stage in the pipeline, additional stalls may occur at
1167the same cycle and prevent the stalled micro-ops from entering the pipe.
1168In such a case, micro-ops retry entering the execution pipe in the next
1169cycle and the ROB-read port stall is counted again.
1170.It Li RAT_STALLS.SCOREBOARD
1171.Pq Event D2H , Umask 08H
1172Counts the cycles where we stall due to microarchitecturally required
1173serialization.
1174Microcode scoreboarding stalls.
1175.It Li RAT_STALLS.ANY
1176.Pq Event D2H , Umask 0FH
1177Counts all Register Allocation Table stall cycles due to: Cycles when ROB
1178read port stalls occurred, which did not allow new micro-ops to enter the
1179execution pipe.
1180Cycles when partial register stalls occurred Cycles when flag stalls occurred
1181Cycles floating-point unit (FPU) status word stalls occurred.
1182To count each of these conditions separately use the events:
1183RAT_STALLS.ROB_READ_PORT, RAT_STALLS.PARTIAL, RAT_STALLS.FLAGS, and
1184RAT_STALLS.FPSW.
1185.It Li SEG_RENAME_STALLS
1186.Pq Event D4H , Umask 01H
1187Counts the number of stall cycles due to the lack of renaming resources for
1188the ES, DS, FS, and GS segment registers.
1189If a segment is renamed but not retired and a second update to the same
1190segment occurs, a stall occurs in the front-end of the pipeline until the
1191renamed segment retires.
1192.It Li ES_REG_RENAMES
1193.Pq Event D5H , Umask 01H
1194Counts the number of times the ES segment register is renamed.
1195.It Li UOP_UNFUSION
1196.Pq Event DBH , Umask 01H
1197Counts unfusion events due to floating point exception to a fused uop.
1198.It Li BR_INST_DECODED
1199.Pq Event E0H , Umask 01H
1200Counts the number of branch instructions decoded.
1201.It Li BPU_MISSED_CALL_RET
1202.Pq Event E5H , Umask 01H
1203Counts number of times the Branch Prediction Unit missed predicting a call
1204or return branch.
1205.It Li BACLEAR.CLEAR
1206.Pq Event E6H , Umask 01H
1207Counts the number of times the front end is resteered, mainly when the
1208Branch Prediction Unit cannot provide a correct prediction and this is
1209corrected by the Branch Address Calculator at the front end.
1210This can occur if the code has many branches such that they cannot be
1211consumed by the BPU.
1212Each BACLEAR asserted by the BAC generates approximately an 8 cycle bubble
1213in the instruction fetch pipeline.
1214The effect on total execution time depends on the surrounding code.
1215.It Li BACLEAR.BAD_TARGET
1216.Pq Event E6H , Umask 02H
1217Counts number of Branch Address Calculator clears (BACLEAR) asserted due to
1218conditional branch instructions in which there was a target hit but the
1219direction was wrong.
1220Each BACLEAR asserted by the BAC generates approximately an 8 cycle bubble in
1221the instruction fetch pipeline.
1222.It Li BPU_CLEARS.EARLY
1223.Pq Event E8H , Umask 01H
1224Counts early (normal) Branch Prediction Unit clears: BPU predicted a taken
1225branch after incorrectly assuming that it was not taken.
1226The BPU clear leads to 2 cycle bubble in the Front End.
1227.It Li BPU_CLEARS.LATE
1228.Pq Event E8H , Umask 02H
1229Counts late Branch Prediction Unit clears due to Most Recently Used conflicts.
1230The PBU clear leads to a 3 cycle bubble in the Front End.
1231.It Li L2_TRANSACTIONS.LOAD
1232.Pq Event F0H , Umask 01H
1233Counts L2 load operations due to HW prefetch or demand loads.
1234.It Li L2_TRANSACTIONS.RFO
1235.Pq Event F0H , Umask 02H
1236Counts L2 RFO operations due to HW prefetch or demand RFOs.
1237.It Li L2_TRANSACTIONS.IFETCH
1238.Pq Event F0H , Umask 04H
1239Counts L2 instruction fetch operations due to HW prefetch or demand ifetch.
1240.It Li L2_TRANSACTIONS.PREFETCH
1241.Pq Event F0H , Umask 08H
1242Counts L2 prefetch operations.
1243.It Li L2_TRANSACTIONS.L1D_WB
1244.Pq Event F0H , Umask 10H
1245Counts L1D writeback operations to the L2.
1246.It Li L2_TRANSACTIONS.FILL
1247.Pq Event F0H , Umask 20H
1248Counts L2 cache line fill operations due to load, RFO, L1D writeback or
1249prefetch.
1250.It Li L2_TRANSACTIONS.WB
1251.Pq Event F0H , Umask 40H
1252Counts L2 writeback operations to the L3.
1253.It Li L2_TRANSACTIONS.ANY
1254.Pq Event F0H , Umask 80H
1255Counts all L2 cache operations.
1256.It Li L2_LINES_IN.S_STATE
1257.Pq Event F1H , Umask 02H
1258Counts the number of cache lines allocated in the L2 cache in the S (shared)
1259state.
1260.It Li L2_LINES_IN.E_STATE
1261.Pq Event F1H , Umask 04H
1262Counts the number of cache lines allocated in the L2 cache in the E
1263(exclusive) state.
1264.It Li L2_LINES_IN.ANY
1265.Pq Event F1H , Umask 07H
1266Counts the number of cache lines allocated in the L2 cache.
1267.It Li L2_LINES_OUT.DEMAND_CLEAN
1268.Pq Event F2H , Umask 01H
1269Counts L2 clean cache lines evicted by a demand request.
1270.It Li L2_LINES_OUT.DEMAND_DIRTY
1271.Pq Event F2H , Umask 02H
1272Counts L2 dirty (modified) cache lines evicted by a demand request.
1273.It Li L2_LINES_OUT.PREFETCH_CLEAN
1274.Pq Event F2H , Umask 04H
1275Counts L2 clean cache line evicted by a prefetch request.
1276.It Li L2_LINES_OUT.PREFETCH_DIRTY
1277.Pq Event F2H , Umask 08H
1278Counts L2 modified cache line evicted by a prefetch request.
1279.It Li L2_LINES_OUT.ANY
1280.Pq Event F2H , Umask 0FH
1281Counts all L2 cache lines evicted for any reason.
1282.It Li SQ_MISC.SPLIT_LOCK
1283.Pq Event F4H , Umask 10H
1284Counts the number of SQ lock splits across a cache line.
1285.It Li SQ_FULL_STALL_CYCLES
1286.Pq Event F6H , Umask 01H
1287Counts cycles the Super Queue is full.
1288Neither of the threads on this core will be able to access the uncore.
1289.It Li FP_ASSIST.ALL
1290.Pq Event F7H , Umask 01H
1291Counts the number of floating point operations executed that required
1292micro-code assist intervention.
1293Assists are required in the following cases:
1294SSE instructions, (Denormal input when the DAZ flag is off or Underflow
1295result when the FTZ flag is off): x87 instructions, (NaN or denormal are
1296loaded to a register or used as input from memory, Division by 0 or
1297Underflow output).
1298.It Li FP_ASSIST.OUTPUT
1299.Pq Event F7H , Umask 02H
1300Counts number of floating point micro-code assist when the output value
1301(destination register) is invalid.
1302.It Li FP_ASSIST.INPUT
1303.Pq Event F7H , Umask 04H
1304Counts number of floating point micro-code assist when the input value (one
1305of the source operands to an FP instruction) is invalid.
1306.It Li SIMD_INT_64.PACKED_MPY
1307.Pq Event FDH , Umask 01H
1308Counts number of SID integer 64 bit packed multiply operations.
1309.It Li SIMD_INT_64.PACKED_SHIFT
1310.Pq Event FDH , Umask 02H
1311Counts number of SID integer 64 bit packed shift operations.
1312.It Li SIMD_INT_64.PACK
1313.Pq Event FDH , Umask 04H
1314Counts number of SID integer 64 bit pack operations.
1315.It Li SIMD_INT_64.UNPACK
1316.Pq Event FDH , Umask 08H
1317Counts number of SID integer 64 bit unpack operations.
1318.It Li SIMD_INT_64.PACKED_LOGICAL
1319.Pq Event FDH , Umask 10H
1320Counts number of SID integer 64 bit logical operations.
1321.It Li SIMD_INT_64.PACKED_ARITH
1322.Pq Event FDH , Umask 20H
1323Counts number of SID integer 64 bit arithmetic operations.
1324.It Li SIMD_INT_64.SHUFFLE_MOVE
1325.Pq Event FDH , Umask 40H
1326Counts number of SID integer 64 bit shift or move operations.
1327.El
1328.Ss Event Specifiers (Programmable PMCs)
1329Core i7 and Xeon 5500 programmable PMCs support the following events as
1330June 2009 document (removed in December 2009):
1331.Bl -tag -width indent
1332.It Li SB_FORWARD.ANY
1333.Pq Event 02H , Umask 01H
1334Counts the number of store forwards.
1335.It Li LOAD_BLOCK.STD
1336.Pq Event 03H , Umask 01H
1337Counts the number of loads blocked by a preceding store with unknown data.
1338.It Li LOAD_BLOCK.ADDRESS_OFFSET
1339.Pq Event 03H , Umask 04H
1340Counts the number of loads blocked by a preceding store address.
1341.It Li LOAD_BLOCK.ADDRESS_OFFSET
1342.Pq Event 01H , Umask 04H
1343Counts the cycles of store buffer drains.
1344.It Li MISALIGN_MEM_REF.LOAD
1345.Pq Event 05H , Umask 01H
1346Counts the number of misaligned load references
1347.It Li MISALIGN_MEM_REF.STORE
1348.Pq Event 05H , Umask 02H
1349Counts the number of misaligned store references
1350.It Li MISALIGN_MEM_REF.ANY
1351.Pq Event 05H , Umask 03H
1352Counts the number of misaligned memory references
1353.It Li STORE_BLOCKS.NOT_STA
1354.Pq Event 06H , Umask 01H
1355This event counts the number of load operations delayed caused by preceding
1356stores whose addresses are known but whose data is unknown, and preceding
1357stores that conflict with the load but which incompletely overlap the load.
1358.It Li STORE_BLOCKS.STA
1359.Pq Event 06H , Umask 02H
1360This event counts load operations delayed caused by preceding stores whose
1361addresses are unknown (STA block).
1362.It Li STORE_BLOCKS.ANY
1363.Pq Event 06H , Umask 0FH
1364All loads delayed due to store blocks
1365.It Li MEMORY_DISAMBIGURATION.RESET
1366.Pq Event 09H , Umask 01H
1367Counts memory disambiguration reset cycles
1368.It Li MEMORY_DISAMBIGURATION.SUCCESS
1369.Pq Event 09H , Umask 02H
1370Counts the number of loads that memory disambiguration succeeded
1371.It Li MEMORY_DISAMBIGURATION.WATCHDOG
1372.Pq Event 09H , Umask 04H
1373Counts the number of times the memory disambiguration watchdog kicked in.
1374.It Li MEMORY_DISAMBIGURATION.WATCH_CYCLES
1375.Pq Event 09H , Umask 08H
1376Counts the cycles that the memory disambiguration watchdog is active.
1377set invert=1, cmask = 1
1378.It Li HW_INT.RCV
1379.Pq Event 1DH , Umask 01H
1380Number of interrupt received
1381.It Li HW_INT.CYCLES_MASKED
1382.Pq Event 1DH , Umask 02H
1383Number of cycles interrupt are masked
1384.It Li HW_INT.CYCLES_PENDING_AND_MASKED
1385.Pq Event 1DH , Umask 04H
1386Number of cycles interrupts are pending and masked
1387.It Li HW_INT.CYCLES_PENDING_AND_MASKED
1388.Pq Event 04H , Umask 04H
1389Counts number of L2 store RFO requests where the cache line to be loaded is
1390in the E (exclusive) state.
1391The L1D prefetcher does not issue a RFO prefetch.
1392This is a demand RFO request
1393.It Li HW_INT.CYCLES_PENDING_AND_MASKED
1394.Pq Event 27H , Umask 04H
1395LONGEST_LAT_CACH E.MISS
1396.It Li UOPS_DECODED.DEC0
1397.Pq Event 3DH , Umask 01H
1398Counts micro-ops decoded by decoder 0.
1399.It Li UOPS_DECODED.DEC0
1400.Pq Event 01H , Umask 01H
1401Counts L1 data cache store RFO requests where the cache line to be loaded is
1402in the I state.
1403Counter 0, 1 only
1404.It Li 0FH
1405.Pq Event 41H , Umask 41H
1406L1D_CACHE_ST.MESI
1407Counts L1 data cache store RFO requests.
1408Counter 0, 1 only
1409.It Li DTLB_MISSES.PDE_MISS
1410.Pq Event 49H , Umask 20H
1411Number of DTLB cache misses where the low part of the linear to physical
1412address translation was missed.
1413.It Li DTLB_MISSES.PDP_MISS
1414.Pq Event 49H , Umask 40H
1415Number of DTLB misses where the high part of the linear to physical address
1416translation was missed.
1417.It Li DTLB_MISSES.LARGE_WALK_COMPLETED
1418.Pq Event 49H , Umask 80H
1419Counts number of completed large page walks due to misses in the STLB.
1420.It Li SSE_MEM_EXEC.NTA
1421.Pq Event 4BH , Umask 01H
1422Counts number of SSE NTA prefetch/weakly-ordered instructions which missed
1423the L1 data cache.
1424.It Li SSE_MEM_EXEC.STREAMING_STORES
1425.Pq Event 4BH , Umask 08H
1426Counts number of SSE non temporal stores
1427.It Li SFENCE_CYCLES
1428.Pq Event 4DH , Umask 01H
1429Counts store fence cycles
1430.It Li EPT.EPDE_MISS
1431.Pq Event 4FH , Umask 02H
1432Counts Extended Page Directory Entry misses.
1433The Extended Page Directory cache is used by Virtual Machine operating
1434systems while the guest operating systems use the standard TLB caches.
1435.It Li EPT.EPDPE_HIT
1436.Pq Event 4FH , Umask 04H
1437Counts Extended Page Directory Pointer Entry hits.
1438.It Li EPT.EPDPE_MISS
1439.Pq Event 4FH , Umask 08H
1440Counts Extended Page Directory Pointer Entry misses.
1441.It Li OFFCORE_REQUESTS_OUTSTANDING.DEMAND.READ_DATA
1442.Pq Event 60H , Umask 01H
1443Counts weighted cycles of offcore demand data read requests.
1444Does not include L2 prefetch requests.
1445counter 0
1446.It Li OFFCORE_REQUESTS_OUTSTANDING.DEMAND.READ_CODE
1447.Pq Event 60H , Umask 02H
1448Counts weighted cycles of offcore demand code read requests.
1449Does not include L2 prefetch requests.
1450counter 0
1451.It Li OFFCORE_REQUESTS_OUTSTANDING.DEMAND.RFO
1452.Pq Event 60H , Umask 04H
1453Counts weighted cycles of offcore demand RFO requests.
1454Does not include L2 prefetch requests.
1455counter 0
1456.It Li OFFCORE_REQUESTS_OUTSTANDING.ANY.READ
1457.Pq Event 60H , Umask 08H
1458Counts weighted cycles of offcore read requests of any kind.
1459Include L2 prefetch requests.
1460counter 0
1461.It Li IFU_IVC.FULL
1462.Pq Event 81H , Umask 01H
1463Instruction Fetche unit victim cache full.
1464.It Li IFU_IVC.L1I_EVICTION
1465.Pq Event 81H , Umask 02H
1466L1 Instruction cache evictions.
1467.It Li L1I_OPPORTUNISTIC_HITS
1468.Pq Event 83H , Umask 01H
1469Opportunistic hits in streaming.
1470.It Li ITLB_MISSES.WALK_CYCLES
1471.Pq Event 85H , Umask 04H
1472Counts ITLB miss page walk cycles.
1473.It Li ITLB_MISSES.PMH_BUSY_CYCLES
1474.Pq Event 85H , Umask 04H
1475Counts PMH busy cycles.
1476.It Li ITLB_MISSES.STLB_HIT
1477.Pq Event 85H , Umask 10H
1478Counts the number of ITLB misses that hit in the second level TLB.
1479.It Li ITLB_MISSES.PDE_MISS
1480.Pq Event 85H , Umask 20H
1481Number of ITLB misses where the low part of the linear to physical address
1482translation was missed.
1483.It Li ITLB_MISSES.PDP_MISS
1484.Pq Event 85H , Umask 40H
1485Number of ITLB misses where the high part of the linear to physical address
1486translation was missed.
1487.It Li ITLB_MISSES.LARGE_WALK_COMPLETED
1488.Pq Event 85H , Umask 80H
1489Counts number of completed large page walks due to misses in the STLB.
1490.It Li ITLB_MISSES.LARGE_WALK_COMPLETED
1491.Pq Event 01H , Umask 80H
1492Counts number of offcore demand data read requests.
1493Does not count L2 prefetch requests.
1494.It Li OFFCORE_REQUESTS.DEMAND.READ_CODE
1495.Pq Event B0H , Umask 02H
1496Counts number of offcore demand code read requests.
1497Does not count L2 prefetch requests.
1498.It Li OFFCORE_REQUESTS.DEMAND.RFO
1499.Pq Event B0H , Umask 04H
1500Counts number of offcore demand RFO requests.
1501Does not count L2 prefetch requests.
1502.It Li OFFCORE_REQUESTS.ANY.READ
1503.Pq Event B0H , Umask 08H
1504Counts number of offcore read requests.
1505Includes L2 prefetch requests.
1506.It Li OFFCORE_REQUESTS.ANY.RFO
1507.Pq Event B0H , Umask 10H
1508Counts number of offcore RFO requests.
1509Includes L2 prefetch requests.
1510.It Li OFFCORE_REQUESTS.UNCACHED_MEM
1511.Pq Event B0H , Umask 20H
1512Counts number of offcore uncached memory requests.
1513.It Li OFFCORE_REQUESTS.ANY
1514.Pq Event B0H , Umask 80H
1515Counts all offcore requests.
1516.It Li SNOOPQ_REQUESTS_OUTSTANDING.DATA
1517.Pq Event B3H , Umask 01H
1518Counts weighted cycles of snoopq requests for data.
1519Counter 0 only Use cmask=1 to count cycles not empty.
1520.It Li SNOOPQ_REQUESTS_OUTSTANDING.INVALIDATE
1521.Pq Event B3H , Umask 02H
1522Counts weighted cycles of snoopq invalidate requests.
1523Counter 0 only Use cmask=1 to count cycles not empty.
1524.It Li SNOOPQ_REQUESTS_OUTSTANDING.CODE
1525.Pq Event B3H , Umask 04H
1526Counts weighted cycles of snoopq requests for code.
1527Counter 0 only Use cmask=1 to count cycles not empty.
1528.It Li SNOOPQ_REQUESTS_OUTSTANDING.CODE
1529.Pq Event BAH , Umask 04H
1530Counts number of TPR reads
1531.It Li PIC_ACCESSES.TPR_WRITES
1532.Pq Event BAH , Umask 02H
1533Counts number of TPR writes one or two micro-ops.
1534Some instructions are decoded into longer sequences
1535.It Li MACHINE_CLEARS.FUSION_ASSIST
1536.Pq Event C3H , Umask 10H
1537Counts the number of macro-fusion assists
1538Counts SIMD packed single- precision floating point Uops retired.
1539.It Li BOGUS_BR
1540.Pq Event E4H , Umask 01H
1541Counts the number of bogus branches.
1542.It Li L2_HW_PREFETCH.HIT
1543.Pq Event F3H , Umask 01H
1544Count L2 HW prefetcher detector hits
1545.It Li L2_HW_PREFETCH.ALLOC
1546.Pq Event F3H , Umask 02H
1547Count L2 HW prefetcher allocations
1548.It Li L2_HW_PREFETCH.DATA_TRIGGER
1549.Pq Event F3H , Umask 04H
1550Count L2 HW data prefetcher triggered
1551.It Li L2_HW_PREFETCH.CODE_TRIGGER
1552.Pq Event F3H , Umask 08H
1553Count L2 HW code prefetcher triggered
1554.It Li L2_HW_PREFETCH.DCA_TRIGGER
1555.Pq Event F3H , Umask 10H
1556Count L2 HW DCA prefetcher triggered
1557.It Li L2_HW_PREFETCH.KICK_START
1558.Pq Event F3H , Umask 20H
1559Count L2 HW prefetcher kick started
1560.It Li SQ_MISC.PROMOTION
1561.Pq Event F4H , Umask 01H
1562Counts the number of L2 secondary misses that hit the Super Queue.
1563.It Li SQ_MISC.PROMOTION_POST_GO
1564.Pq Event F4H , Umask 02H
1565Counts the number of L2 secondary misses during the Super Queue filling L2.
1566.It Li SQ_MISC.LRU_HINTS
1567.Pq Event F4H , Umask 04H
1568Counts number of Super Queue LRU hints sent to L3.
1569.It Li SQ_MISC.FILL_DROPPED
1570.Pq Event F4H , Umask 08H
1571Counts the number of SQ L2 fills dropped due to L2 busy.
1572.It Li SEGMENT_REG_LOADS
1573.Pq Event F8H , Umask 01H
1574Counts number of segment register loads.
1575.El
1576.Sh SEE ALSO
1577.Xr pmc 3 ,
1578.Xr pmc.amd 3 ,
1579.Xr pmc.atom 3 ,
1580.Xr pmc.core 3 ,
1581.Xr pmc.corei7uc 3 ,
1582.Xr pmc.iaf 3 ,
1583.Xr pmc.soft 3 ,
1584.Xr pmc.tsc 3 ,
1585.Xr pmc.ucf 3 ,
1586.Xr pmc.westmere 3 ,
1587.Xr pmc.westmereuc 3 ,
1588.Xr pmc_cpuinfo 3 ,
1589.Xr pmclog 3 ,
1590.Xr hwpmc 4
1591.Sh HISTORY
1592The
1593.Nm pmc
1594library first appeared in
1595.Fx 6.0 .
1596.Sh AUTHORS
1597The
1598.Lb libpmc
1599library was written by
1600.An Joseph Koshy Aq Mt jkoshy@FreeBSD.org .
1601