Lines Matching refs:get

1032     kw_final = &IdentTable.get("final");  in AdditionalKeywords()
1033 kw_override = &IdentTable.get("override"); in AdditionalKeywords()
1034 kw_in = &IdentTable.get("in"); in AdditionalKeywords()
1035 kw_of = &IdentTable.get("of"); in AdditionalKeywords()
1036 kw_CF_CLOSED_ENUM = &IdentTable.get("CF_CLOSED_ENUM"); in AdditionalKeywords()
1037 kw_CF_ENUM = &IdentTable.get("CF_ENUM"); in AdditionalKeywords()
1038 kw_CF_OPTIONS = &IdentTable.get("CF_OPTIONS"); in AdditionalKeywords()
1039 kw_NS_CLOSED_ENUM = &IdentTable.get("NS_CLOSED_ENUM"); in AdditionalKeywords()
1040 kw_NS_ENUM = &IdentTable.get("NS_ENUM"); in AdditionalKeywords()
1041 kw_NS_ERROR_ENUM = &IdentTable.get("NS_ERROR_ENUM"); in AdditionalKeywords()
1042 kw_NS_OPTIONS = &IdentTable.get("NS_OPTIONS"); in AdditionalKeywords()
1044 kw_as = &IdentTable.get("as"); in AdditionalKeywords()
1045 kw_async = &IdentTable.get("async"); in AdditionalKeywords()
1046 kw_await = &IdentTable.get("await"); in AdditionalKeywords()
1047 kw_declare = &IdentTable.get("declare"); in AdditionalKeywords()
1048 kw_finally = &IdentTable.get("finally"); in AdditionalKeywords()
1049 kw_from = &IdentTable.get("from"); in AdditionalKeywords()
1050 kw_function = &IdentTable.get("function"); in AdditionalKeywords()
1051 kw_get = &IdentTable.get("get"); in AdditionalKeywords()
1052 kw_import = &IdentTable.get("import"); in AdditionalKeywords()
1053 kw_infer = &IdentTable.get("infer"); in AdditionalKeywords()
1054 kw_is = &IdentTable.get("is"); in AdditionalKeywords()
1055 kw_let = &IdentTable.get("let"); in AdditionalKeywords()
1056 kw_module = &IdentTable.get("module"); in AdditionalKeywords()
1057 kw_readonly = &IdentTable.get("readonly"); in AdditionalKeywords()
1058 kw_set = &IdentTable.get("set"); in AdditionalKeywords()
1059 kw_type = &IdentTable.get("type"); in AdditionalKeywords()
1060 kw_typeof = &IdentTable.get("typeof"); in AdditionalKeywords()
1061 kw_var = &IdentTable.get("var"); in AdditionalKeywords()
1062 kw_yield = &IdentTable.get("yield"); in AdditionalKeywords()
1064 kw_abstract = &IdentTable.get("abstract"); in AdditionalKeywords()
1065 kw_assert = &IdentTable.get("assert"); in AdditionalKeywords()
1066 kw_extends = &IdentTable.get("extends"); in AdditionalKeywords()
1067 kw_implements = &IdentTable.get("implements"); in AdditionalKeywords()
1068 kw_instanceof = &IdentTable.get("instanceof"); in AdditionalKeywords()
1069 kw_interface = &IdentTable.get("interface"); in AdditionalKeywords()
1070 kw_native = &IdentTable.get("native"); in AdditionalKeywords()
1071 kw_package = &IdentTable.get("package"); in AdditionalKeywords()
1072 kw_synchronized = &IdentTable.get("synchronized"); in AdditionalKeywords()
1073 kw_throws = &IdentTable.get("throws"); in AdditionalKeywords()
1074 kw___except = &IdentTable.get("__except"); in AdditionalKeywords()
1075 kw___has_include = &IdentTable.get("__has_include"); in AdditionalKeywords()
1076 kw___has_include_next = &IdentTable.get("__has_include_next"); in AdditionalKeywords()
1078 kw_mark = &IdentTable.get("mark"); in AdditionalKeywords()
1079 kw_region = &IdentTable.get("region"); in AdditionalKeywords()
1081 kw_extend = &IdentTable.get("extend"); in AdditionalKeywords()
1082 kw_option = &IdentTable.get("option"); in AdditionalKeywords()
1083 kw_optional = &IdentTable.get("optional"); in AdditionalKeywords()
1084 kw_repeated = &IdentTable.get("repeated"); in AdditionalKeywords()
1085 kw_required = &IdentTable.get("required"); in AdditionalKeywords()
1086 kw_returns = &IdentTable.get("returns"); in AdditionalKeywords()
1088 kw_signals = &IdentTable.get("signals"); in AdditionalKeywords()
1089 kw_qsignals = &IdentTable.get("Q_SIGNALS"); in AdditionalKeywords()
1090 kw_slots = &IdentTable.get("slots"); in AdditionalKeywords()
1091 kw_qslots = &IdentTable.get("Q_SLOTS"); in AdditionalKeywords()
1095 &IdentTable.get("__CLANG_FORMAT_INTERNAL_IDENT_AFTER_DEFINE__"); in AdditionalKeywords()
1098 kw_dollar = &IdentTable.get("dollar"); in AdditionalKeywords()
1099 kw_base = &IdentTable.get("base"); in AdditionalKeywords()
1100 kw_byte = &IdentTable.get("byte"); in AdditionalKeywords()
1101 kw_checked = &IdentTable.get("checked"); in AdditionalKeywords()
1102 kw_decimal = &IdentTable.get("decimal"); in AdditionalKeywords()
1103 kw_delegate = &IdentTable.get("delegate"); in AdditionalKeywords()
1104 kw_event = &IdentTable.get("event"); in AdditionalKeywords()
1105 kw_fixed = &IdentTable.get("fixed"); in AdditionalKeywords()
1106 kw_foreach = &IdentTable.get("foreach"); in AdditionalKeywords()
1107 kw_init = &IdentTable.get("init"); in AdditionalKeywords()
1108 kw_implicit = &IdentTable.get("implicit"); in AdditionalKeywords()
1109 kw_internal = &IdentTable.get("internal"); in AdditionalKeywords()
1110 kw_lock = &IdentTable.get("lock"); in AdditionalKeywords()
1111 kw_null = &IdentTable.get("null"); in AdditionalKeywords()
1112 kw_object = &IdentTable.get("object"); in AdditionalKeywords()
1113 kw_out = &IdentTable.get("out"); in AdditionalKeywords()
1114 kw_params = &IdentTable.get("params"); in AdditionalKeywords()
1115 kw_ref = &IdentTable.get("ref"); in AdditionalKeywords()
1116 kw_string = &IdentTable.get("string"); in AdditionalKeywords()
1117 kw_stackalloc = &IdentTable.get("stackalloc"); in AdditionalKeywords()
1118 kw_sbyte = &IdentTable.get("sbyte"); in AdditionalKeywords()
1119 kw_sealed = &IdentTable.get("sealed"); in AdditionalKeywords()
1120 kw_uint = &IdentTable.get("uint"); in AdditionalKeywords()
1121 kw_ulong = &IdentTable.get("ulong"); in AdditionalKeywords()
1122 kw_unchecked = &IdentTable.get("unchecked"); in AdditionalKeywords()
1123 kw_unsafe = &IdentTable.get("unsafe"); in AdditionalKeywords()
1124 kw_ushort = &IdentTable.get("ushort"); in AdditionalKeywords()
1125 kw_when = &IdentTable.get("when"); in AdditionalKeywords()
1126 kw_where = &IdentTable.get("where"); in AdditionalKeywords()
1129 kw_always = &IdentTable.get("always"); in AdditionalKeywords()
1130 kw_always_comb = &IdentTable.get("always_comb"); in AdditionalKeywords()
1131 kw_always_ff = &IdentTable.get("always_ff"); in AdditionalKeywords()
1132 kw_always_latch = &IdentTable.get("always_latch"); in AdditionalKeywords()
1133 kw_assign = &IdentTable.get("assign"); in AdditionalKeywords()
1134 kw_assume = &IdentTable.get("assume"); in AdditionalKeywords()
1135 kw_automatic = &IdentTable.get("automatic"); in AdditionalKeywords()
1136 kw_before = &IdentTable.get("before"); in AdditionalKeywords()
1137 kw_begin = &IdentTable.get("begin"); in AdditionalKeywords()
1138 kw_begin_keywords = &IdentTable.get("begin_keywords"); in AdditionalKeywords()
1139 kw_bins = &IdentTable.get("bins"); in AdditionalKeywords()
1140 kw_binsof = &IdentTable.get("binsof"); in AdditionalKeywords()
1141 kw_casex = &IdentTable.get("casex"); in AdditionalKeywords()
1142 kw_casez = &IdentTable.get("casez"); in AdditionalKeywords()
1143 kw_celldefine = &IdentTable.get("celldefine"); in AdditionalKeywords()
1144 kw_checker = &IdentTable.get("checker"); in AdditionalKeywords()
1145 kw_clocking = &IdentTable.get("clocking"); in AdditionalKeywords()
1146 kw_constraint = &IdentTable.get("constraint"); in AdditionalKeywords()
1147 kw_cover = &IdentTable.get("cover"); in AdditionalKeywords()
1148 kw_covergroup = &IdentTable.get("covergroup"); in AdditionalKeywords()
1149 kw_coverpoint = &IdentTable.get("coverpoint"); in AdditionalKeywords()
1150 kw_default_decay_time = &IdentTable.get("default_decay_time"); in AdditionalKeywords()
1151 kw_default_nettype = &IdentTable.get("default_nettype"); in AdditionalKeywords()
1152 kw_default_trireg_strength = &IdentTable.get("default_trireg_strength"); in AdditionalKeywords()
1153 kw_delay_mode_distributed = &IdentTable.get("delay_mode_distributed"); in AdditionalKeywords()
1154 kw_delay_mode_path = &IdentTable.get("delay_mode_path"); in AdditionalKeywords()
1155 kw_delay_mode_unit = &IdentTable.get("delay_mode_unit"); in AdditionalKeywords()
1156 kw_delay_mode_zero = &IdentTable.get("delay_mode_zero"); in AdditionalKeywords()
1157 kw_disable = &IdentTable.get("disable"); in AdditionalKeywords()
1158 kw_dist = &IdentTable.get("dist"); in AdditionalKeywords()
1159 kw_edge = &IdentTable.get("edge"); in AdditionalKeywords()
1160 kw_elsif = &IdentTable.get("elsif"); in AdditionalKeywords()
1161 kw_end = &IdentTable.get("end"); in AdditionalKeywords()
1162 kw_end_keywords = &IdentTable.get("end_keywords"); in AdditionalKeywords()
1163 kw_endcase = &IdentTable.get("endcase"); in AdditionalKeywords()
1164 kw_endcelldefine = &IdentTable.get("endcelldefine"); in AdditionalKeywords()
1165 kw_endchecker = &IdentTable.get("endchecker"); in AdditionalKeywords()
1166 kw_endclass = &IdentTable.get("endclass"); in AdditionalKeywords()
1167 kw_endclocking = &IdentTable.get("endclocking"); in AdditionalKeywords()
1168 kw_endfunction = &IdentTable.get("endfunction"); in AdditionalKeywords()
1169 kw_endgenerate = &IdentTable.get("endgenerate"); in AdditionalKeywords()
1170 kw_endgroup = &IdentTable.get("endgroup"); in AdditionalKeywords()
1171 kw_endinterface = &IdentTable.get("endinterface"); in AdditionalKeywords()
1172 kw_endmodule = &IdentTable.get("endmodule"); in AdditionalKeywords()
1173 kw_endpackage = &IdentTable.get("endpackage"); in AdditionalKeywords()
1174 kw_endprimitive = &IdentTable.get("endprimitive"); in AdditionalKeywords()
1175 kw_endprogram = &IdentTable.get("endprogram"); in AdditionalKeywords()
1176 kw_endproperty = &IdentTable.get("endproperty"); in AdditionalKeywords()
1177 kw_endsequence = &IdentTable.get("endsequence"); in AdditionalKeywords()
1178 kw_endspecify = &IdentTable.get("endspecify"); in AdditionalKeywords()
1179 kw_endtable = &IdentTable.get("endtable"); in AdditionalKeywords()
1180 kw_endtask = &IdentTable.get("endtask"); in AdditionalKeywords()
1181 kw_forever = &IdentTable.get("forever"); in AdditionalKeywords()
1182 kw_fork = &IdentTable.get("fork"); in AdditionalKeywords()
1183 kw_generate = &IdentTable.get("generate"); in AdditionalKeywords()
1184 kw_highz0 = &IdentTable.get("highz0"); in AdditionalKeywords()
1185 kw_highz1 = &IdentTable.get("highz1"); in AdditionalKeywords()
1186 kw_iff = &IdentTable.get("iff"); in AdditionalKeywords()
1187 kw_ifnone = &IdentTable.get("ifnone"); in AdditionalKeywords()
1188 kw_ignore_bins = &IdentTable.get("ignore_bins"); in AdditionalKeywords()
1189 kw_illegal_bins = &IdentTable.get("illegal_bins"); in AdditionalKeywords()
1190 kw_initial = &IdentTable.get("initial"); in AdditionalKeywords()
1191 kw_inout = &IdentTable.get("inout"); in AdditionalKeywords()
1192 kw_input = &IdentTable.get("input"); in AdditionalKeywords()
1193 kw_inside = &IdentTable.get("inside"); in AdditionalKeywords()
1194 kw_interconnect = &IdentTable.get("interconnect"); in AdditionalKeywords()
1195 kw_intersect = &IdentTable.get("intersect"); in AdditionalKeywords()
1196 kw_join = &IdentTable.get("join"); in AdditionalKeywords()
1197 kw_join_any = &IdentTable.get("join_any"); in AdditionalKeywords()
1198 kw_join_none = &IdentTable.get("join_none"); in AdditionalKeywords()
1199 kw_large = &IdentTable.get("large"); in AdditionalKeywords()
1200 kw_local = &IdentTable.get("local"); in AdditionalKeywords()
1201 kw_localparam = &IdentTable.get("localparam"); in AdditionalKeywords()
1202 kw_macromodule = &IdentTable.get("macromodule"); in AdditionalKeywords()
1203 kw_matches = &IdentTable.get("matches"); in AdditionalKeywords()
1204 kw_medium = &IdentTable.get("medium"); in AdditionalKeywords()
1205 kw_negedge = &IdentTable.get("negedge"); in AdditionalKeywords()
1206 kw_nounconnected_drive = &IdentTable.get("nounconnected_drive"); in AdditionalKeywords()
1207 kw_output = &IdentTable.get("output"); in AdditionalKeywords()
1208 kw_packed = &IdentTable.get("packed"); in AdditionalKeywords()
1209 kw_parameter = &IdentTable.get("parameter"); in AdditionalKeywords()
1210 kw_posedge = &IdentTable.get("posedge"); in AdditionalKeywords()
1211 kw_primitive = &IdentTable.get("primitive"); in AdditionalKeywords()
1212 kw_priority = &IdentTable.get("priority"); in AdditionalKeywords()
1213 kw_program = &IdentTable.get("program"); in AdditionalKeywords()
1214 kw_property = &IdentTable.get("property"); in AdditionalKeywords()
1215 kw_pull0 = &IdentTable.get("pull0"); in AdditionalKeywords()
1216 kw_pull1 = &IdentTable.get("pull1"); in AdditionalKeywords()
1217 kw_pure = &IdentTable.get("pure"); in AdditionalKeywords()
1218 kw_rand = &IdentTable.get("rand"); in AdditionalKeywords()
1219 kw_randc = &IdentTable.get("randc"); in AdditionalKeywords()
1220 kw_randcase = &IdentTable.get("randcase"); in AdditionalKeywords()
1221 kw_randsequence = &IdentTable.get("randsequence"); in AdditionalKeywords()
1222 kw_repeat = &IdentTable.get("repeat"); in AdditionalKeywords()
1223 kw_resetall = &IdentTable.get("resetall"); in AdditionalKeywords()
1224 kw_sample = &IdentTable.get("sample"); in AdditionalKeywords()
1225 kw_scalared = &IdentTable.get("scalared"); in AdditionalKeywords()
1226 kw_sequence = &IdentTable.get("sequence"); in AdditionalKeywords()
1227 kw_small = &IdentTable.get("small"); in AdditionalKeywords()
1228 kw_soft = &IdentTable.get("soft"); in AdditionalKeywords()
1229 kw_solve = &IdentTable.get("solve"); in AdditionalKeywords()
1230 kw_specify = &IdentTable.get("specify"); in AdditionalKeywords()
1231 kw_specparam = &IdentTable.get("specparam"); in AdditionalKeywords()
1232 kw_strong0 = &IdentTable.get("strong0"); in AdditionalKeywords()
1233 kw_strong1 = &IdentTable.get("strong1"); in AdditionalKeywords()
1234 kw_supply0 = &IdentTable.get("supply0"); in AdditionalKeywords()
1235 kw_supply1 = &IdentTable.get("supply1"); in AdditionalKeywords()
1236 kw_table = &IdentTable.get("table"); in AdditionalKeywords()
1237 kw_tagged = &IdentTable.get("tagged"); in AdditionalKeywords()
1238 kw_task = &IdentTable.get("task"); in AdditionalKeywords()
1239 kw_timescale = &IdentTable.get("timescale"); in AdditionalKeywords()
1240 kw_tri = &IdentTable.get("tri"); in AdditionalKeywords()
1241 kw_tri0 = &IdentTable.get("tri0"); in AdditionalKeywords()
1242 kw_tri1 = &IdentTable.get("tri1"); in AdditionalKeywords()
1243 kw_triand = &IdentTable.get("triand"); in AdditionalKeywords()
1244 kw_trior = &IdentTable.get("trior"); in AdditionalKeywords()
1245 kw_trireg = &IdentTable.get("trireg"); in AdditionalKeywords()
1246 kw_unconnected_drive = &IdentTable.get("unconnected_drive"); in AdditionalKeywords()
1247 kw_undefineall = &IdentTable.get("undefineall"); in AdditionalKeywords()
1248 kw_unique = &IdentTable.get("unique"); in AdditionalKeywords()
1249 kw_unique0 = &IdentTable.get("unique0"); in AdditionalKeywords()
1250 kw_uwire = &IdentTable.get("uwire"); in AdditionalKeywords()
1251 kw_vectored = &IdentTable.get("vectored"); in AdditionalKeywords()
1252 kw_wand = &IdentTable.get("wand"); in AdditionalKeywords()
1253 kw_weak0 = &IdentTable.get("weak0"); in AdditionalKeywords()
1254 kw_weak1 = &IdentTable.get("weak1"); in AdditionalKeywords()
1255 kw_wildcard = &IdentTable.get("wildcard"); in AdditionalKeywords()
1256 kw_wire = &IdentTable.get("wire"); in AdditionalKeywords()
1257 kw_with = &IdentTable.get("with"); in AdditionalKeywords()
1258 kw_wor = &IdentTable.get("wor"); in AdditionalKeywords()
1261 kw_verilogHash = &IdentTable.get("#"); in AdditionalKeywords()
1262 kw_verilogHashHash = &IdentTable.get("##"); in AdditionalKeywords()
1263 kw_apostrophe = &IdentTable.get("\'"); in AdditionalKeywords()
1266 kw_bit = &IdentTable.get("bit"); in AdditionalKeywords()
1267 kw_bits = &IdentTable.get("bits"); in AdditionalKeywords()
1268 kw_code = &IdentTable.get("code"); in AdditionalKeywords()
1269 kw_dag = &IdentTable.get("dag"); in AdditionalKeywords()
1270 kw_def = &IdentTable.get("def"); in AdditionalKeywords()
1271 kw_defm = &IdentTable.get("defm"); in AdditionalKeywords()
1272 kw_defset = &IdentTable.get("defset"); in AdditionalKeywords()
1273 kw_defvar = &IdentTable.get("defvar"); in AdditionalKeywords()
1274 kw_dump = &IdentTable.get("dump"); in AdditionalKeywords()
1275 kw_include = &IdentTable.get("include"); in AdditionalKeywords()
1276 kw_list = &IdentTable.get("list"); in AdditionalKeywords()
1277 kw_multiclass = &IdentTable.get("multiclass"); in AdditionalKeywords()
1278 kw_then = &IdentTable.get("then"); in AdditionalKeywords()